期刊文献+

基于VHDL的时延Petri网仿真 被引量:2

Simulation of the Timed Petri Nets Based-on VHDL
下载PDF
导出
摘要 在实时控制系统中,常常需要使用时延Petri网对系统进行建模、分析. 作者提出了用硬件描述语言对时延Petri网进行描述,用VHDL语言中的wait语句和after语句来模拟Petri网中的时延特性,通过EDA软件工具对时延Petri网进行仿真,获得系统的动态性能,这为时延Petri网的分析提供了新的路径,并为控制系统的设计和电路实现奠定了基础. 文章最后给出了一个实例,仿真波形表明了这种方法的正确性. Timed Petri Nets are often used to model and analyze the real time control systems. The authors present a way to describe timed Petri Nets with hardware description language. The timed characteristic of Petri Nets is specified by using the sentences of the wait and after in VHDL and simulated with the EDA software. Then the dynamic properties of the system are obtained. That抯 a new approach to analyze timed Petri Nets. It is the basis to the design and circuit implementation of the control systems. Finally an example is presented and shows the correctness of this method.
出处 《系统仿真学报》 CAS CSCD 2003年第z1期99-101,共3页 Journal of System Simulation
基金 原机械工业部教育司科技基金资助项目(97250834) 江苏大学青年基金资助项目(JDQ2002003)。
关键词 PETRI网 离散事件系统 VHDL 过程控制 Petri nets discrete event system VHDL process control
  • 相关文献

参考文献4

  • 1宋玉银,褚秀萍,蔡复之.基于时间Petri网的实时并行设计过程建模研究[J].计算机集成制造系统-CIMS,1999,5(6):17-22. 被引量:16
  • 2李华,赵玉兰,周雅丽.与时间相关系统的Petri网模型[J].内蒙古大学学报(自然科学版),2000,31(1):125-131. 被引量:2
  • 3[4]R·大卫,H·奥兰著,黄建文,赵不贿译.佩特利网和逻辑控制器图形表示工具(GRAFCET)[M].北京:机械工业出版社,1996.
  • 4[5]Grzegorz Andrzejewski. Timed Petri Nets for Software Applications [M].In: Marian Adamski, Marek Wegrayn,ed. Proceedings of the International Workshop on Discrete-Event System Design DESDes'01. Przytok:Technical University ofZielona Góra, 2001, 73-78.

二级参考文献4

共引文献16

同被引文献14

  • 1杜天艳,唐平.Petri网的一种硬件实现方法[J].江苏大学学报(自然科学版),2004,25(5):441-444. 被引量:8
  • 2曾伟刚,常青.实时系统的DDSPN建模与VHDL描述和仿真[J].电子设计应用,2005(7):80-80. 被引量:1
  • 3David R, Alla H. Petri Nets & Grafcet [ M ]. New York: Prentice Hall, 1992.
  • 4Murata T. Petri Nets: Properties, Analysis and Applications [J]. Proceedings of the IEEE, 1989, 77(4) :541 - 580.
  • 5Adamski M, Wegrzyn M. Proceedings of the Intemational Workshop on Discrete - Event System Design DESDes' 01 [M]. Przytok: Technical University of Zielona Gora, 2001.
  • 6Pawlewski P. Petri Nets: Applications[ M]. Vukovar, Croatia: In-Teh, 2010.
  • 7Soto E, Pereira M. Implementing a Petri net specification in a FPGA using VHDL[C]// Proceedings of the International Workshop on Discrete - Event System Design. Przytok, Poland: [ s. n. ], 2001 : 19 - 24.
  • 8Adamski M. Behavioural specification of programs for modular Reconfignrable Logic Controllers [C]// Proceedings of IEEE Mixed Design of Integrated Circuits and Systems. Gdynia: IEEE, 2006: 239 - 244.
  • 9Gomes L, Costa A, Barros J P, et al. From Petri net models to VHDL implementation of digital controllers[ C]//Proceedings of the 33rd Annual Conference of the IEEE Indus- trial Electronics Society. Taipei : IEEE, 2007 : 94 - 99.
  • 10Schilke H, Rettberg A, Dittmann F. Towards a Petri Net based Approach to Model and Synthesise Dynamic Reconfig- uration for FPGAs[ C]// Proceedings of the 4th IEEE International Symposium on Electronic Design, Test & Applications. Hong Kong: IEEE, 2008:561-566.

引证文献2

二级引证文献1

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部