期刊文献+

基于参数可设置Altera宏功能模块的MAX+plusⅡ设计

Applications of LPM in MAX+PlusⅡ
下载PDF
导出
摘要 通过介绍参数可设置Alter宏功能(LPM)模块的功能及其在MAX+plusⅡ中的调用和定制方法,给出基于LPM的数控分频器、4X4乘法器和流水线加法器的设计方法。 Introduces the technological characteristics and functions of LPM,discuss how to make readers use LPM to design circuit better.In this thesis,we give the design method about numerical control frequency division machine based on LPM_COUNTER,4X4 multiplier circuit based on LPM_ROM,pop-line adder which is based on the LPM_ADD_SUB.
作者 刘昌华
出处 《舰船电子工程》 2008年第9期156-160,共5页 Ship Electronic Engineering
基金 湖北省教学研究项目"计算机学科教育中分级实践教学模式研究"(编号:20050343)资助 2007年武汉工业学院<数字逻辑>重点课程建设项目
关键词 LPM 数控分频器 乘法器 流水线加法器 library of parameterize modules numerical control frequency division machine multiplier pop-line adder
  • 相关文献

参考文献2

  • 1[1]刘昌华.数字逻辑EDA设计与实践[M].北京:国防工业出版社,2006,8
  • 2刘昌华.EDA技术综述[J].计算机与数字工程,2007,35(12):49-53. 被引量:11

二级参考文献10

  • 1可编程逻辑器件中文网站[EB/OL].http://www.fpga.com.cn,2005.10.
  • 2Xilinx公司的官方网站[EB/OL].http://www.xilinx.com,2005.10.
  • 3国家信息技术紧缺人才培养工程-电子工程与集成电路技术培训项目:FPGA课程[EB/OL].http://www.ChinaECNet.com/etraining.2006.9.
  • 4曾繁态,等著.EDA工程概论[M].北京:清华大学出版社,2003.6.
  • 5David R. coelho. The VHDL Handbook. Boston[ M] : Vantage Analysis. inc, 1993.
  • 6Altera Corportation. Documentation Library March 2003 [ Z]. Altera,2005.1.
  • 7Altera Corportation. Max + pluslI Handbook. [ EB/OL] http ://www. altera, com. cn, 2006.5.
  • 8Altera Corportation. Alerta Introduction to Quartus II[ EB/ OL ]. http ://www. altera, com. cn ,2006.5.
  • 9EDA电子设计技术(中国)网站[EB/OL].http//:www.EDAchina.com,2005.10.
  • 10EDA技术论坛[EB/OL].http://www.edacn.net,2005.10.

共引文献11

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部