期刊文献+

新型非易失相变存储器PCM应用研究 被引量:5

Application Research on New Non-Volatile Phase Change Memory PCM
下载PDF
导出
摘要 并行I/O技术有效优化了I/O性能,但对访问延迟却难以控制.相变存储器(phase change memory,PCM)作为一种SCM(storage class memory),具有非易失性、随机可读写、低延迟、高吞吐率、体积小和低功耗的特点,为I/O性能优化提供了最直接有效的途径.研究了PCM的特性与存在的问题,总结了目前PCM的应用研究进展,针对高性能计算中的并行I/O问题,提出了一种基于相变存储器PCM的层次式并行混合存储模型,能够有效提高并行文件系统元数据服务效率和并行I/O吞吐率. 并行I/O技术有效优化了I/O性能,但对访问延迟却难以控制.相变存储器(phase change memory,PCM)作为一种SCM(storage class memory),具有非易失性、随机可读写、低延迟、高吞吐率、体积小和低功耗的特点,为I/O性能优化提供了最直接有效的途径.研究了PCM的特性与存在的问题,总结了目前PCM的应用研究进展,针对高性能计算中的并行I/O问题,提出了一种基于相变存储器PCM的层次式并行混合存储模型,能够有效提高并行文件系统元数据服务效率和并行I/O吞吐率.
作者 刘金垒 李琼
出处 《计算机研究与发展》 EI CSCD 北大核心 2012年第S1期90-93,共4页 Journal of Computer Research and Development
关键词 相变存储器 PCM SCM 层次式并行混合存储 phase change memory PCM SCM hierachical parallel hybrid storage
  • 相关文献

参考文献6

  • 1Roberts D,Kgil T,Mudge T.Using non-volatile memory to save energy in servers[].Proc of DATE’’.2009
  • 2Dong Xiangyu,Norm Jouppi,Xie Yuan.Pcramsim:System-level performance,energy,and area modeling for phase-change ram[].Proc of Int Conf on Computer-Aided Design (ICCAD).2009
  • 3Hanzawa S,Kitai N,Osada K,et al.A512KB embedded phase change memory with416KB/s write throughput at100μA cell Write current[].Proc of theIEEE Int Solid-State Circuits Conference (ISSCC’’).2007
  • 4Jeremy Condit,Edmund B Nightingale,et al.Better I/O through byte-addressable,persistent memory[].Proc of SOSP’’.2009
  • 5Joel Coburn,Adrian M Caulfield,Ameen Akel,et al.NV-Heaps:Making persistent objects fast and safe with next-generation,non-volatile memories[].Proc of ASPLOS’’.2011
  • 6Qureshi M K,Srinivasan V,Rivers J.A scalable high performancemain memory system using phase-change memory technology[].ProcInt‘l SympComputer Architecture(ISCA).2009

同被引文献147

  • 1陈卓,熊劲,马灿.基于SSD的机群文件系统元数据存储系统[J].计算机研究与发展,2012,49(S1):269-275. 被引量:8
  • 2邓志欣,甘学温.相变存储器简介与展望[J].中国集成电路,2005,14(4):48-51. 被引量:4
  • 3刘波,宋志棠,封松林.我国相变存储器的研究现状与发展前景[J].微纳电子技术,2007,44(2):55-61. 被引量:14
  • 4Hennessy J L,Patterson D A. Computer Architecture A Quanti- tative Approach [M]. San Mateo: Morgan Kaufmann, 2011.
  • 5Freitas R, Wilcke W. Storage-class memory: The next storage system technology [J]. IBM Journal of Research and Develop- ment, 2008,52(4/5) : 439-447.
  • 6Lee B C, Ipek E, Mutlu O, et al. Architecting Phase Change Memory as a Scalable DRAM Architecture[J]. Proc. of lnterna- tionaI Symposium on Computer Architecture, 2009,37 (3) : 2-13.
  • 7Qureshi M K, Srinivasan V, Rivers J A. Scalable High Perfor- mance Main Memory System Using Phase Change Memory Technology [J]. Proc. of International Symposium on Computer Architecture, 2009,37 (3) : 24-33.
  • 8Kim D, Lee S, Chung J, et al. Hybrid DRAM/PRAM-based Main Memory for Single-Chip CPU/GPU [C]//Proc. of Design Automation Conference. 2012 .. 888-896.
  • 9Raoux R, Burr G W, Breitwisch M J, et al. Phase Change Ran- dom Access Memory A Scalable Technology [J]. IBM Journal of Research and Development, 2008,52 (4/5) : 465-479.
  • 10Dong X Y, Jouppi N P, Xie Y. PCRAMsim System Level Per- formance Energy and Area Modeling for Phase Change RAM [C]//Proc. of the International Conference on Computer Aided Design. 2009 : 269-275.

引证文献5

二级引证文献85

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部