期刊文献+

基于VHDL的2FSK调制解调器设计 被引量:10

Design of 2FSK Modem Based on VHDL
原文传递
导出
摘要 在数字通信系统中,数字调制与解调技术占有非常重要的地位。文中介绍了FSK调制解调的基本原理,用VHDL语言实现了2FSK调制解调器的设计,整个系统设计在MAX+plusII开发平台上进行编译仿真,最后在EPM7032LC44-15目标芯片上实现。仿真结果表明此设计方案是可行的,系统具有较高的实用性和可靠性。 在数字通信系统中,数字调制与解调技术占有非常重要的地位。文中介绍了FSK调制解调的基本原理,用VHDL语言实现了2FSK调制解调器的设计,整个系统设计在MAX+plusII开发平台上进行编译仿真,最后在EPM7032LC44-15目标芯片上实现。仿真结果表明此设计方案是可行的,系统具有较高的实用性和可靠性。
作者 刘家庆
出处 《电子技术(上海)》 2010年第11期73-75,共3页 Electronic Technology
关键词 调制 解调 频移键控 超高速集成电路硬件描述语言 modulation demodulation Frequency-Shift Keying VHDL
  • 相关文献

参考文献2

二级参考文献4

共引文献23

同被引文献74

引证文献10

二级引证文献36

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部