期刊文献+

IEEE std 1364-2001 Verilog标准最新跟踪研究 被引量:1

下载PDF
导出
摘要 硬件描述语言Verilog于1995年12月12日正式成为IEEE std 1364-1995标准。根据IEEE的规定,每隔5年需要对标准进行修订和投票表决。Verilog标准的修订稿完成于2000年,IEEE最终于2001年3月正式批准为"IEEE std 1364-2001"标准,又称作Verilog-2001标准。
出处 《中国集成电路》 2002年第3期117-123,共7页 China lntegrated Circuit
  • 相关文献

同被引文献1

  • 1[美国]Donald E等 刘明业等(译).硬件描述语言Verilog[M].北京:清华大学出版社,2001..

二级引证文献4

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部