期刊文献+

一种基于CMOS工艺库的DSP专用MAC设计 被引量:1

MAC Design Based on Standard CMOS Library for DSP System
下载PDF
导出
摘要 针对基于标准CMOS单元库的DSP系统专用MAC设计 ,本文提出了构建多模式算法最小并集的通用MAC平台思想以满足各种运算模式要求 ,并提出了划分MAC平台结构功能方法以实现与多流水DSP系统的最佳匹配 .在以 16位为基本乘法单元的MAC具体应用中 ,本文提出了Booth编码和部分积联合产生、舍入运算前置至Wallace树中处理和Wallace树型加法器比对选择等优化方法以求用最小的代价实现完善的功能 .电路综合实验表明采用本文所提出思想和方法可以有效减少MAC关键路径时延和电路门数 . Aimed at the MAC design in DSP system with standard CMOS library, this paper presents the idea of constructing the general MAC platform based on the minimal merging of multi-mode multiplications, which can well satisfy all kinds of DSP requirements and improve the efficiency of system. To achieve the perfect match with multi-pipeline DSP architecture, the idea of partition of the platform is also put up. As an example, a 16 bits DSP's MAC platform is illustrated. It applies the novel methods of Booth encoding combined with partial products generating, forwarding round disposal and selected realization of Wallace-tree adding to its optimization. Through the synthesis of MAC circuit, it validates that the ideas and methods well to reduce the critical path delay and circuit gates.
出处 《电子学报》 EI CAS CSCD 北大核心 2004年第8期1405-1408,共4页 Acta Electronica Sinica
基金 霍英东教育基金 (No .940 31 ) 浙江省重大科技项目 (No .0 2 1 1 0 1 559) 浙江省自然科学基金 (No .60 2 0 31 )
关键词 MAC DSP BOOTH编码 Wallac树 CMOS integrated circuits Digital arithmetic Electric network synthesis Optimization Signal encoding Trees (mathematics)
  • 相关文献

参考文献5

  • 1Rjoub A,et al.Multi-level low swing voltage values for low power design applications[A].IEEE International Symposium on Circuits and Systems[C].Sydney,Australia,May,2001.4.590-593.
  • 2Jae-Young Kwak,Sang-Sic Yoon,et al.A design of the new FPGA with data path logic and run time block reconfiguration method[A].IEEE International Symposium on Circuits and Systems[C].Orlando,Florida,USA,May,1999.1.467-469.
  • 3Jiangmin Gu,Chip-Hong Chang.Ultra low voltage,low power 4-2 compressor for high speed multiplications[A].IEEE International Symposium on Circuits and Systems[C].Bangkok,Thailand,May,2003,5.321-324.
  • 4Olivieri M,et al.Design of synchronous and asynchronous variable-latency pipelined multipliers[J].IEEE Trans.on Very Large Scale Integration (VLSI) Systems,2001,9(2):365-376.
  • 5Tso-Bing Juang,Jeng-Hsiun Jan,et al.Partition methodology for the final adder in a TreeStructure Parallel Multiplier Generator[A].Asia-Pacific Conference on Circuits and Systems[C].Singapore,Dec.,2002,1.471-474.

同被引文献11

  • 1T Shikata, S Kondou, R Nose, Y Kuniyasu, M Naitoh, H Suzuki. A single-chip low power DSP/RISC CPU with 0.25μm CMOS technology [A]. IEEE Proceedings of Custom Integrated Circuits Conference [C]. 1998, 123-126.
  • 2W Jeong, S An, M Kim, S Heo, Y Kim, S Moon, Y Lee. Design of a combined processor containing a 32-bit RISC microprocessor and a 16-bit fixed-point DSP on a chip [A]. International Conference on VLSI and CAD [C]. 1999, 305-308.
  • 3J Chaoui, K Cyr, S D Gregorio, J P Giacalone, J Webb, Y Masse. Open multimedia application platform: enabling multimedia applications in third generation wireless terminals through a combined RISC/DSP architecture [A]. IEEE International Conference on Acoustics, Speech, and Signal Processing [C]. 2001, 2: 1009-1012.
  • 4M Dolle, M Schlett. A cost effective RISC/DSP microprocessor for embedded systems [J]. IEEE Micro, 1995, 15: 32-40.
  • 5M Dolle, S Jhand, W Lehner, O Muller, M Schlett. A 32-b RISC/DSP microprocessor with reduced complexity [J]. IEEE Journal of Solid-State Circuits, 1997, 32(7): 1056 - 1066.
  • 6J Noel, V G Oklobdzija. New pipelined architecture for DSP [A]. Twenty-Ninth Asilomar Conference on Signals, Systems and Computers [C]. 1995, 1: 137-140.
  • 7Ricardo Chaves, Leonel Sousa. RDSP: A RISC DSP based on Residue Number System [A]. Proceedings of the Euromicro Symposium on Digital System Design [C]. 2003, 128-135.
  • 8ADSP-BF535 Blackfin Processor Hardware Reference [M]. Analog Devices, 2003.
  • 9ARC^TM 600 Programmer's Reference [M]. ARC International, 2003.
  • 10Jicheng Chen, Qingdong Yao, Peng Liu, Ce Shi. MD16, DSP with Some RISC Features for Embedded System [A]. IEEE 7^th International Conference on Signal Processing Proceedings [C]. 2004, 144-147.

引证文献1

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部