期刊文献+

SOC可测试性设计与测试技术 被引量:42

Design-for-Testability and Test Technologies for System-on-a-Chip
下载PDF
导出
摘要 超深亚微米工艺和基于芯核的设计给芯片系统(system-on-a-chip,SOC)测试带来了新的问题.对SOC可测试性设计与测试技术的国际研究现状及进展进行了广泛而深入的综述.从芯核级综述了数字逻辑、模拟电路、存储器、处理器4类芯核的可测试性设计与测试技术,从系统级综述了测试激励、测试响应和测试访问机制等SOC测试资源的设计以及压缩/解压缩与测试调度等测试资源划分、优化技术,并介绍了2个标准化组织开展的SOC测试标准工作.最后,展望了SOC测试未来的发展方向. A comprehensive survey of the up-to-date design-for-testability (DFT) methods and testing technologies for system-on-a-chip (SOC) is presented. The techniques of DFT and testing for embedded cores of digital, analog/mixed-signal, memory and processor are introduced respectively. Among these techniques, some advanced scan and built-in-self-test schemes to provide at-speed test capability or to reduce test application time, test power consumption and test data volume are emphasized. The DFT and testing techniques for SOC at system level are also surveyed. Since test resources are very important to cope with new issues of testing SOC, design, partitioning and optimization of test resources are described in detail. In an SOC, on-chip test resources generally include test access mechanism, test wrapper, and test source and sink. For test source and sink, test resource partitioning approaches based on test stimuli compression/ decompression and test response compaction are overviewed. For test access mechanism and test wrapper, test resource optimization techniques of test scheduling based on heurist algorithms are presented. The SOC test standardization by two organizations is introduced. Finally, some future directions in DFT and test technologies for SOC are indicated, and an extensive bibliography is also provided.
出处 《计算机研究与发展》 EI CSCD 北大核心 2005年第1期153-162,共10页 Journal of Computer Research and Development
基金 国家自然科学基金SOC重大研究计划重点项目(90207002)
关键词 芯片系统 可测试性设计 测试资源划分 测试资源优化 system-on-a-chip design-for-testability test resource partitioning test resource optimization
  • 相关文献

参考文献66

  • 1徐勇军,骆祖莹,李晓维,李华伟.双阈值CMOS电路静态功耗优化[J].计算机辅助设计与图形学学报,2003,15(3):264-269. 被引量:8
  • 2韩银和,李晓维,徐勇军,李华伟.应用Variable-Tail编码压缩的测试资源划分方法[J].电子学报,2004,32(8):1346-1350. 被引量:26
  • 3The National Technology Roadmap for Semiconductors(ITRS),1997 Edition, Semiconductor Industry Association, 1997.http://public.itrs.net.
  • 4I. Hamzaoglu, J. PateI. Reducing test application time for full scan embedded cores. In: Proc. of Int' 1 Symposium on Defect and Fault-Tolerance in VLSI Systems, Austin: IEEE Press, 1999.260 -- 267.
  • 5A. R. Pandey, J. H. Patel. Reconfiguration technique for reducing test time and test data volume in Illinois scan architecture based designs. In: Prec. of VLSI Test Symposium, Monterey:IEEE Press, 2002. 9--15.
  • 6K. Miyase, S. Kajihara. Optimal scan tree construction with test vector modification for test compression. In: Proc. of Asian Test Symposium, Xi'an: IEEE Press, 2003. 136-- 141.
  • 7D. Xiang, S. Gu, J. Sun, et al. A cost-effective scan architecture for scan testing with non-scan test power and test application cost. In: Prec. of Design Automation Conf.,Anaheim: IEEE Press, 2003. 744--746.
  • 8O. Sinanoglu, A. Orailoglu. A novel scan architecture for powerefficient, rapid test. In: Prec. of Int'l Conf. on Computer Aided Design, San Jose: IEEE Press, 2002. 299--303.
  • 9H. Ando. Testing VLSI with Random Access Scan. In: Proc. of COMPCON , San Francisco: IEEE Press, 1980. 50--52.
  • 10D. H. Balk, K. K. Saluja, S. Kajihara. Random access scan: A solution to test power, test data volume and test time. In: Proc.of Int'l Conf. on VLSI Design, Mumbai: IEEE Press, 2004.883 -- 888.

二级参考文献29

  • 1A Jas,J Ghosh-Dastidar,N A Touba.Scan vector compression/decompression using statistical coding[A].Proceeding of 17th IEEE VLSI Test Symposium[C].Dana Point,California,USA,1999.114-120.
  • 2A Chandra,K Chakrabarty.System-on-a-Chip test data compression and decompression architectures based on Golomb codes[J].IEEE Trans.on CAD of Integrated Circuits and System,2001,20(3):355-368.
  • 3A Chandra,K Chakrabarty.Frequency-directed run length (FDR) codes with application to system-on-a-chip test data compression[A].Proceeding of 20th IEEE VLSI Test Symposium[C].Marina Del Rey,California,USA,2001.42-47.
  • 4A Chandra,K Chakrabarty.Reduction of SOC test data volume,scan power and testing time using alternating run-length codes[A].Proceeding of IEEE/ACM,Design Automation Conference[C].New Orleans,Louisiana,USA,2002.673-678.
  • 5A Chandra,K Chakrabarty.How effective are compression codes for reducing test data volume[A]?Proceeding of VLSI Test Symposium[C].Monterey,California,USA,2002.91-96.
  • 6L Li,K Chakrabarty.Test data compression using dictionaries and fixed-length indices[A].Proceeding of IEEE VLSI Test Symposium[C].Napa Valley,California,USA,2003.219-224.
  • 7Yinhe Han,Yongjun Xu,Xiaowei Li.Co-optimization for test data compression and testing power based On variable-tail code[A].Proceeding of 5th International Conference on ASIC[C].Beijing,P R China,2003.105-108.
  • 8Yinhe Han,Yongjun Xu,Huawei Li,Xiaowei Li,A.Chandra.test resource partitioning based on efficient response compaction for test time and tester channels reduction [A].Proceeding of Asian Test Symposium[C].Xi'an,ShanXi,P R China,2003.440-445.
  • 9K Miyase,S Kajihara,I Pomeranz,M Reddy.Don't-care identification on specific bits of test patterns[A].Proceeding of International Conference on Computer Design[C].Freiburg,im Breisgau,Germany,2002.194-199.
  • 10I Hamzaoglu,J H Patel.Test set compaction algorithms for combinational circuits[A].Proceeding of International Conference on CAD[C].San Jose,California,USA,1998.283-289.

共引文献32

同被引文献290

引证文献42

二级引证文献126

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部