期刊文献+

消除产品良率隐藏的杀手——电子束检查是铜逻辑和晶圆代工厂的最佳方法

Eliminating Buried Yield Killerse-Beam Inspection: Best Practices for Copper Logic and Foundry Fabs
下载PDF
导出
摘要 监控和消除隐藏的电路缺陷已成为130nm和130nm以下器件的关键。这使得电子束检查正在广泛应用于开发、试生产和量产的监控过程。我们将描述当前铜逻辑和晶圆代工厂电子束检查技术的执行情况,其中包括详细的案例研究,它说明了从开发到量产过程中应用电子束检查技术的好处。我们也描述了过去克服通用工具障碍的方法。然后,分别介绍了利用电子束检查技术的新进展,以及为假设的20000WSPMφ300mm工厂模拟的最理想执行情况的最佳实例。 Monitoring and eliminating buried electrical defects has become critical for 130 nm copper devices and below. As a result, electron-beam inspection is being widely adopted for development, ramp, and volume production monitoring. In this paper we describe current implementation of e-beam inspection technology for copper logic and foundry fabs, including specific case studies which illustrate the benefits of applying e-beam inspection technology from development through volume production. We also describe methods used to overcome common implementation hurdles. We then pair best practices with new advances in e-beam inspection technology to model the optimal implementation for a hypothetical 20 000 WSPM 300 mm fab.
机构地区 KLA-TencorGorp.
出处 《电子工业专用设备》 2005年第3期38-45,共8页 Equipment for Electronic Products Manufacturing
关键词 电路缺陷 电子束检查 最佳方法 Electrical defect e-Beam inspection Best practices
  • 相关文献

参考文献14

  • 1David W. Price, Todd Henry, and Robert Fiordalice, This article first appeared in abbreviated form as "e-Beam Inspection: Best Practices for Copper Logic and Foundry Fabs," Proceedings from the 2003 IEEE International Symposium on Semiconductor Manufacturing [J]. San Jose,California, 2003, October 1,396-399.
  • 2R. Cappel and J. Rathert. The Advantages of Inline electron-Beam Inspection [J]. Yield Management Solutions Magazine, 2000, 2(3): Summer.
  • 3N. Mizuta and T. Amai. Effective Voltage Contrast Inspection Techniques for Ramping 90 nm Logic Process[C]. presented at Semicon Japan Yield Management Seminar,Makuhari, Japan, 2002,December, 5.
  • 4B. Hinschberger. Applications of e-beam inspection in a mixed Production and R&D Environment[C]. presented at SEMICON Europa Yield Management Seminar, San Francisco, CA, 2002, April, 17.
  • 5H. Chen. Applications of a Foundry Fab eS2OXP to Improve FEOL to BEOL Yield [C]. presented at SEMICON West Yield Management Seminar, San Francisco, CA,2001, July, 18.
  • 6J. Fretwell. Applications of E-Beam Wafer Inspection for Inline Monitoring of Advanced Logic Process Development using Inlaid Copper Technology[C]. Presented at SEMICON West Yield Management Seminar, San Francisco, CA,2000, July.
  • 7M. Soucek, J. Anderson, H. Chahal, D.W. Price, K. Boahen, and L. Breaux. Electrical Line Monitoring in a φ300mm Copper Fab[J]. Semiconductor International, 2003, 26(8): 80-90.
  • 8J. Malik and M. Gonzalez. eS20XP Line Monitoring Implementation at Samsung Austin Semiconductor[C]. Presented at Semicon Taiwan Yield Management Seminar, Hsin-Chu,Taiwan, 2002, August, 20.
  • 9S. Desmercières, P. Bertin, G. Roy, S. Sch?n, J.L.Bahzinger, M. Bostelmann, M. Mercier, J.Y. Nots, and P.Lefebvre. E-Beam Inspection Methodology and Line Monitoring Applications for Copper Technology[C]. presented at SEMICON West Yield Management Seminar, San Francisco, CA, 2003, July, 15.
  • 10P. lee. Production Evaluation of KLA-Tencor eS30 Ebeam Inspection System [C]. Presented at SEMICON West Yield Management Seminar, San Francisco, CA, 2003, July, 15.

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部