期刊文献+

基于VHDL的Viterbi译码器的实现

Implementation of Viterbi encoder based on VHDL
下载PDF
导出
摘要 介绍了一种运用VHDL来实现维特比(Viterbi)译码器的方法。详细描述了维特比译码器的优化算法和用VHDL语言实现原理。电路在集成开发环境MAX+PLUSII下可以完成设计、仿真、适配并下载。文中给出了维特比译码器顶层设计电路图,以及电路的主要模块和总体电路的仿真结果。其仿真结果表明,用VHDL实现维特比译码器是一种快速有效的方法。 This paper introduced a method which can realize Viterbi encoder based on VHDL. It described the optimum arithmetic of Viterbi encoder and the principle of Viterbi encoder realized by VHDL language. We can design, simu1ate, match and load in MAX+PLUS II integrate exploitation surroundings. This paper presented the top-design circuit of Viterbi encoder . It also presented the simulation results of Viterbi encoder ’s of main parts and the whole circuit. The results showed that the realization of Viterbi encoder based on VHDL is a fast and effective method.
出处 《沈阳航空工业学院学报》 2005年第2期49-51,共3页 Journal of Shenyang Institute of Aeronautical Engineering
关键词 VHDL 维特比 译码器 VHDL Viterbi encoder
  • 相关文献

参考文献2

  • 1王新梅 肖国镇.纠错码-原理与方法[M].西安:西安电子科技大学出版社,2001..
  • 2鄂炜.高速Viterbi译码器的优化和实现[M].北京:北京理工大学,2000..

共引文献144

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部