期刊文献+

基于FPGA的32位整数微处理器的设计与实现 被引量:3

Design and Implementation of 32-bit Integral Microprocessor Based on FPGA
下载PDF
导出
摘要 CPU“软核”可以根据实际应用需要进行剪裁,因而CPU软核设计是SoC设计实现的重要部分.在FPGA内部设计和调试完全嵌入式的整数微处理器软核,不仅涉及到通常CPU必需的算术逻辑累加器、寄存器堆、指令缓冲、跳转计数、指令集及指令编译等方面的设计实现,还要针对FPGA内部的结构特点对设计进行分析优化,例如流水线结构的选择、关键路径延迟的折衷平衡以及整体调试等.在Virtex1000FG680-4FPGA上设计实现的32位RISC整数微处理器,运行时钟频率可达30MHz,实现150条指令,占用FPGA逻辑资源7%. The design and implementation of CPU soft-core is necessary for SoC design since the soft-core can be tailored to fit actual applications. A 32-bit RISC integral microprocessor soft-core that is fully embedded in FPGA is described, including the design of hardware structure, instruction set and a simple assembler. According to the architecture of the aimed FPGA, the design of pipeline structure and critical path delay balancing are optimized. Some debugging techniques used are also discussed at the end of the paper. On Xilinx Virtex1000FG680-4, the designed microprocessor running clock is 30MHz with 150 instructions. The core occupies 7% FPGA logic resource.
出处 《小型微型计算机系统》 CSCD 北大核心 2005年第6期1113-1117,共5页 Journal of Chinese Computer Systems
关键词 RISC微处理器设计 指令集 调试 RISC microprocessor design instruction set debugging
  • 相关文献

参考文献3

  • 1RochitRajsuman.SoC设计与测试[M].北京:北京航空航天大学出版社,2003,4..
  • 2JohnL Hennessy DavidA Patterson.计算机系统结构,一种定量的方法(第二版)[M].北京:清华大学出版社,2002..
  • 3Ken Chapman. Creating embedded microcontrollers [EB/OL].http://www. xilinx. com/xlnx/xweb/xil_ tx_ home. jsp, 2002,7,28.

共引文献3

同被引文献18

  • 1汪永威,樊晓桠,黄小平.32位RISC微处理器中分支预测器的硬件实现[J].计算机应用研究,2009,26(2):419-421. 被引量:3
  • 2贾琳,樊晓桠.32位RISC微处理器流水线设计[J].计算机工程与应用,2005,41(14):115-117. 被引量:7
  • 3江艳,廉殿斌,李勇.64位RISC微处理器的结构设计[J].微电子学与计算机,2005,22(4):72-74. 被引量:4
  • 4Patteroson D A, Hennessy J L. Computer organization and design: the hardware/software interface [M]. Third Edition. Beijing: China Machine Press, 2006:386-454.
  • 5Hennessy J L, Patterson D A. Computer architecture: a quantitative approach [M]. Fourth Edition. Beijing : China Machine Press, 2007 : 433-467.
  • 6Harris D, Harris S. Digital design and computer architecture [M]. San Francisco: Morgan Kaufmann Publishers Incorporated, 2007 : 402-417.
  • 7冯子军 肖俊华 章隆兵.处理器分支预测研究的历史和现状.信息技术快报,2008,6(4):21-25.
  • 8Acher G, Trinitis C, Buehty R. CPU-independent assembler inan FPGA[C]//2005 International Conference on Field Programmable Logic and Applications. Tampere ,Finland : [s. n.],2005 : 519-522.
  • 9Kislyakov M, Mosin S. A processor development in programmable logic basis [C]//The Experience of Designing and Application of CAD Systems in Mi- croelectronics-CADSM 2007. Lviv-Polyana, Ukraine : [s. n. ],2007:182-185.
  • 10Mane P S, Gupta I, Vasantha M K. Implementation of RISC processor on FPGA[C]//2006 IEEE International Conference on Industrial Technology. Mumbai ,India : [s. n.], 2006 : 2096-2100.

引证文献3

二级引证文献6

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部