期刊文献+

基于VHDL-AMS的模拟和混合系统的行为建模与仿真 被引量:1

下载PDF
导出
摘要 介绍了一种完全集成的混合信号设计语言VHDL-AMS,探讨了该语言的理论基础,阐述了VHDL-AMS在模拟和数字混合信号领域应用中的必要性及其开发环境等,最后给出了一个RLC滤波器的实例。
出处 《华北航天工业学院学报》 2005年第B07期35-37,40,共4页 Journal of North China Institute of Astronautic Engineering
  • 相关文献

同被引文献4

引证文献1

二级引证文献1

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部