期刊文献+

DDS单音调频波产生分析及FPGA实现

Analysis of the Generation of DDS FM Wave by Single Frequency-Modulated Signal and Realization on FPGA
下载PDF
导出
摘要 依据DDS基本原理,为实现单音调频波的DDS,提出了通过调整频率控制字来查表得到所需信号数字序列的思想,并进行了理论分析和研究,得到了计算方法,其结论用MATLAB6.5进行了仿真,同时通过QuartusⅡ3.0应用到FPGA设计上,实践表明该方法是行之有效的。叙述了信号序列产生设计方法,重点介绍频率控制字分析和研究过程、FPGA实现方案及其仿真实验结果。 According to the principle of Direct Digital Synthesis,an idea for generating DDS frequency-modulated wave by single signal is presented by adjusting frequency control word in look-up-table to achieve digit sequence. Theoretical study and analysis are carried out. The conclusion is acquired and simulated by MATLAB 6.5,and ap- plied on FPGA design by Quartus Ⅱ 3.0. The experiment shows that the way is feasible. The design method of signal sequence generator is introduced. The process of study and analysis of frequency control word,practical FPGA design plan,and simulation and experiment result are given mainly in the paper,
出处 《电声技术》 2005年第10期36-39,共4页 Audio Engineering
基金 湖南省自然科学基金资助项目(02JJY5011)
关键词 DDS 单音调制FM波 频率控制字 FPGA DDS single-tone Frequency-Modulated wave frequency control word FPGA
  • 相关文献

参考文献8

  • 1左磊,连小珉,班学钢,蒋孝煜.双RAM直接数字合成任意波形发生器微机插卡研制[J].清华大学学报(自然科学版),1999,39(2):90-93. 被引量:20
  • 2戴柠,陈一尧.DDS信号源的研制[J].仪器仪表学报,1996,17(1):24-28. 被引量:30
  • 3宋跃,张小平,周明辉,谭爱群.基于FPGA的DDS虚拟AWG研制[J].计算机工程与科学,2004,26(8):92-95. 被引量:6
  • 4Jenq Y C. Digtal Spectra of Nonuniformly Sampled Signals:fun Damentals and High Speed wave form Digitizers [J]. IEEE Trans IM,1998,37 (2):245 -251.
  • 5王秋生,王祁,孙圣和.直接数字合成调频信号的研究[J].仪器仪表学报,2000,21(4):428-430. 被引量:36
  • 6Petru Eles, Krzysztof Kuchcinshi, Zebo Peng. System Synthesis with VHDL[M]. Kluwer Academic Publishers, 1998.21-135.
  • 7Timo Rahkonen., Harri Eksyma, Antti Mantyniemi, et al. ADDS Synthesizer with Digital Time Domain Interpolator[J]. Analog Integrated Circuits and Signal Processing.2001,27:109-116.
  • 8Paul O L,Franco M A. Direct-digital Synthesizer with Improved Spectral Performance [J],IEEE Transations on Communications, 1991,39(30): 1 046-1 048.

二级参考文献16

  • 1章玉鉴,金良泉.一种扩展任意波形发生器输出带宽的设计[J].电子测量与仪器学报,1993,7(1):16-23. 被引量:5
  • 2罗颖,谢长生,裴先登.一种用于磁盘机频率特性测试的扫频信号源[J].电子测量与仪器学报,1994,8(2):29-33. 被引量:1
  • 3詹宏英.双口RAM用于数据采集的设计[J].电子测量与仪器学报,1994,8(4):41-44. 被引量:3
  • 4戴柠,陈一尧.DDS信号源的研制[J].仪器仪表学报,1996,17(1):24-28. 被引量:30
  • 5Paul O L, Franco M. A Direct Digital Synthesizer with Improved Spectral Performance[J].IEEE Trans on Communications, 1991, 39(30): 1046 1048.
  • 6Daniele D C, Alessandro D G, Giuliano D, et al. Design and Construction of Arbritrary Waveform Generator[J].IEEE Trans on Instrumentation and Measurement, 1983,32(3):398-403.
  • 7Petru Eles,Krzysztof Kuchcinshi,Zebo Peng.System Synthesis with VHDL[M].Kluwer Academic Publishers,1998.
  • 8Zoran Salcic,Asim Smailagic.Digital Systems Design and Prototyping Using Field Programmable Logic[M].Kluwer Academic Publishers,1997.
  • 9迟忠先 高永强 张春涛.Delphi 6·0开发务实[M].北京:电子工业出版社,2002..
  • 101,Albert L.Bramble.Direct Digital Synthesis.Proc.35 Freq.Control Symposium.May,1981:406~414.

共引文献63

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部