期刊文献+

并行前缀加法器的研究与实现 被引量:6

Research and Implementation of Parallel Prefix Adder
下载PDF
导出
摘要 随着微处理器运算速度的大幅度提高,对快速加法器的需求也越来越高。当VLSI工艺进入深亚微米阶段的时候,很多情况下,无论是在面积还是在时序上连线都起着决定性的作用。文章基于不同的CMOS工艺,针对三种不同结构的并行前缀加法器,在不同数据宽度的情况下进行性能比较,根据深亚微米下金属互连线对加法器性能的影响,挑选出适合深亚微米工艺的加法器结构。 With the great increase of the speed of modern microprocessors, the need of fast adders becomes more exigent. When the technology has got the stage of deep submicron, the connective wire will play an important role either in the area or in the timing. Based on various CMOS technologies: 0.18μm, 0.15μm, 0.13μm and 90nm, this thesis makes a performance comparison with different bit widths, and then selects the adder architecture fit for deep submicron technology according to the impact of connective wires on adder performance in deep submicron technology.
出处 《微电子学与计算机》 CSCD 北大核心 2005年第12期92-95,共4页 Microelectronics & Computer
基金 国防"十五"预研课题(41308010108) 西北工业大学研究生创业种子基金(Z20040050)
关键词 并行前缀加法器 KS结构 LF结构 BK结构 Parallel prefix adder, KS adder, LF adder, BK adder
  • 相关文献

参考文献9

  • 1K Udea, N Sasaki, H Sato, et al. A 64-Bit Carry Look Ahead Using Pass Transistor BiCMOS Gate[J]. IEEE J. Solid-State Circuits, 1996, 31: 810~819.
  • 2K Suzuki, et al. A 500MHz 32bit 0.4μm CMOS RISC Processor[J]. IEEE, Solid-State Circuits, 1994, 29(12): 1464~1476.
  • 3P M Kogge, H S Stone. A Parallel Algorithm for the Efficient Solution of a General Class of Recurrence Equations[J]. IEEE Trans. Computers, 1973, 22(8): 786~793.
  • 4R E Ladner, M J Fischer. Parallel Prefix Computation[J].JACM, 1980, 27(4): 831~838.
  • 5R P Brent, H T Kung. A Regular Layout for Parallel Adders[J]. IEEE Trans. Computers, 1982, 31(3): 260~264.
  • 6J Cong. Challenges and Opportunities for Design Innovations in Nanometer Technologies. SRC Working Paper,http://www.src.org/prg_mgmt/frontier. dgw, 1997.
  • 7J Cong, D Z Pan. Interconnect Delay Estimation Models for Synthesis and Design Planning[J]. Proc. Asia and South Pacific Design Automation Conf., 1999, 97~100.
  • 8H B Bakoglu. Circuit, Interconnections and Packaging for VLSI[M]. Addison-Wesley Publishing Company, 1990.
  • 9E Elmore. The Transient Response of Damped Linear Networks with Particular Regard to Wideband Amplifiers [J].Journal of Applied Physics, 1948, 55~63.

同被引文献18

  • 1黄舒怀,蔡敏.超前进位加法器的一种优化设计[J].半导体技术,2004,29(8):65-68. 被引量:5
  • 2安印龙,许琪,杨银堂.并行加法器的研究与设计[J].晋中师范高等专科学校学报,2003,20(4):330-334. 被引量:9
  • 3王骞,丁铁夫.一种稀疏树加法器及结构设计[J].电子器件,2005,28(2):312-314. 被引量:2
  • 4汪鹏君,郁军军.钟控传输门绝热逻辑电路和SRAM的设计[J].电子学报,2006,34(2):301-305. 被引量:9
  • 5庄伟.基于AhiVec技术的向量处理单元VALU设计与实现[D].西安:西北工业大学,2006:1-3.
  • 6Reto Zimmermann. Binary adder architectures for cell - based VLSI and their synthesis[ D]. Flensburg: University of Rostock, 1997.
  • 7Pai Yuting,Chen Yukumg.The fastest carry lookahead adder,Second IEEE International Workshop on Electronic Design,Test and Applications,2004:434-436.
  • 8Janick Bergeron著,张春,陈新凯,李晓雯等译.编写测试平台-HDL模型的功能验证[M].北京:电子工业出版社,2006
  • 9William K.Lam著,王维维译.硬件设计验证-基于模拟和形式的方法[M].北京:机械工业出版社,2007
  • 10Zainalabedin Navabi著,李广军,陈亦欧,李林等译.Verilog数字系统设计-RTL综合、测试平台与验证(第二版)[M].北京:电子工业出版社,2007

引证文献6

二级引证文献4

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部