期刊文献+

降低泄漏电流的细粒度休眠晶体管插入法(英文)

Fine-Grain Sleep Transistor Insertion for Leakage Reduction
下载PDF
导出
摘要 首先给出一种泄漏电流和延时的简化模型,并且在此基础上提出了一种降低泄漏电流的细粒度休眠晶体管插入法.该方法的核心是利用混合整数线性规划方法同时确定插入细粒度休眠晶体管的位置和尺寸.从实验结果可以发现,由于这种方法更好地利用了电路中的延时余量,所以在电路性能不受影响的情况下可以减小79.75%的泄漏电流;并且在一定范围内放宽电路的延时约束可以更大幅度地降低泄漏电流.与传统的固定放宽延时约束的方法相比较,当延时约束放宽7%时,这种方法可以节约74.79%的面积. A fine-grain sleep transistor insertion technique based on our simplified leakage current and delay models is proposed to reduce leakage current. The key idea is to model the leakage current reduction problem as a mixed-integer linear programming (MLP) problem in order to simultaneously place and size the sleep transistors optimally. Because of better circuit slack utilization, our experimental results show that the MLP model can save leakage by 79.75%, 93.56%, and 94.99% when the circuit slowdown is 0%, 3%, and 5%, respectively. The MLP model also achieves on average 74.79% less area penalty compared to the conventional fixed slowdown method when the circuit slowdown is 7%.
出处 《Journal of Semiconductors》 EI CAS CSCD 北大核心 2006年第2期258-265,共8页 半导体学报(英文版)
基金 国家高技术研究发展计划(批准号:2004AA1Z1050,2005AA1Z1230) 国家自然科学基金(批准号:90207001,60506010)资助项目~~
关键词 泄漏电流 细粒度 休眠晶体管 延时模型 混和整数线性规划 leakage current reduction fine-grain sleep transistor insertion delay model mixed-integer linearprogramming
  • 相关文献

参考文献21

  • 1Calhoun B H,Honoré F A,Chandrakasan A P.A leakage reduction methodology for distributed MTCMOS.IEEE J Solid-State Circuits,2004,39(5):818
  • 2Duarte D,Vijaykrishnan N,Irwin M J,et al.Formulation and validation of an energy dissipation model for the clock generation circuitry and distribution networks.Proc of VLSI Design,2001:248
  • 3Moore G.No exponential is forever:but forever can be delayed.IEEE ISSCC Dig Tech Papers,2003:20
  • 4Kao J,Narendra S,Chandrakasan A.Subthreshold leakage modeling and reduction techniques.Proc of ICCAD,2002:141
  • 5Roy K,Mukhopadhay S,Mahmoodi-Meimand H.Leakage current mechanisms and leakage reduction techniques in deep-submicrometer CMOS circuits.Proceedings of the IEEE,2003,91(2):305
  • 6Narendra S,Keshavarzi A,B Bloechel A,et al.Forward body bias for microprocessors in 130-nm technology generation and beyond.IEEE J Solid-State Circuits,2003,38 (5):696
  • 7Kim C H,Roy K.Dynamic VTH scaling scheme for active leakage power reduction.Proc of DATE,2002:163
  • 8Mukhopadhyay S,Neau C,Cakici R T,et al.Gate leakage reduction for scaled devices using transistor stacking.IEEE Trans Very Large Scale Integration Syst,2003,11(4):716
  • 9Wei L,Chen Z,Roy K,et al.Design and optimization of dual-threshold circuits for low-voltage low-power applications.IEEE Trans Very Large Scale Integration Syst,1999,7(1):16
  • 10Wang Yu,Yang Huazhong,Wang Hui.Signal-path level assignment for dual-Vt technique.Proceedings of IEEE PRIME,2005:52

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部