期刊文献+

基于FPGA快速AES算法IP核的设计与实现 被引量:6

The Implementation of AES Algorithm IP Core in FPGA
下载PDF
导出
摘要 用硬件实现数据加密过程比软件实现更具有优势,已成为信息安全的主流方向。数据传输速度则是加密系统的一个重要指标。文章基于FPGA采用流水线技术和优化设计,提出了一种更高效的AES算法IP核的设计方法。在使用较低时钟频率的情况下,获得了更大的数据吞吐量和更快的传输速度。 Hardware Implementation of data encryption has become the mainstream in information security field,because it has many advantages when compared with software implementation.Transmission speed is an important parameter of the encryption system.This paper presents an efficient design of AES algorithm's IP core in FPGA using pipelining technique and optimized methods.This implementation results in greater throughputs and less resource requirements.
出处 《计算机工程与应用》 CSCD 北大核心 2006年第24期84-86,共3页 Computer Engineering and Applications
基金 国家自然科学基金资助项目(编号:60173016) 学院信息安全重点实验室基金项目
关键词 数据加密 AES FPGA 流水线 data encryption, AES, FPGA, pipelining
  • 相关文献

参考文献4

  • 1Standaert et al.Efficient Implementation of Rijndael Encryption in Reconfigurable Hardware:Improvements and Design Tradeoffs[C].In:CHES 2003,LNCS 2779,2003:334~350
  • 2Saggese et al.An FPGA-Based Performance Analysis of the Unrolling,Tiling,and Pipelining of the AESAlgorithm[C].In:FPL 2003,LNCS 2778,2003:292~302
  • 3Kris Gaj,Pawel Chodowie.Comparison of the hardware performance of the AES candidates using reconfigurable hardware
  • 4Joan Danmen,Vincent Riijmen.AES Proposal:Rijndael.AES algorithm submission,AES home page:http://www.nist.gov/aes,1999-09

同被引文献38

引证文献6

二级引证文献20

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部