期刊文献+

Time-domain analysis methodology for large-scale RLC circuits and its applications 被引量:13

Time-domain analysis methodology for large-scale RLC circuits and its applications
原文传递
导出
摘要 With soaring work frequency and decreasing feature sizes, VLSI circuits with RLC parasitic components are more like analog circuits and should be carefully analyzed in physical design. However, the number of extracted RLC components is typically too large to be analyzed efficiently by using present analog circuit simulators like SPICE. In order to speedup the simulations without error penalty, this paper proposes a novel methodology to compress the time-descritized circuits resulted from numerical integration approximation at every time step. The main contribution of the methodology is the efficient structure-level compression of DC circuits containing many current sources, which is an important complement to present circuit analysis theory. The methodology consists of the following parts: 1) An approach is proposed to delete all intermediate nodes of RL branches. 2) An efficient approach is proposed to compress and back-solve parallel and serial branches so that it is error-free and of linear complexity to analyze circuits of tree topology. 3) The Y to πtransformation method is used to error-free reduce and back-solve the intermediate nodes of ladder circuits with the linear complexity. Thus, the whole simulation method is very accurate and of linear complexity to analyze circuits of chain topology. Based on the methodology, we propose several novel algorithms for efficiently solving RLC-model transient power/ground (P/G) networks. Among them, EQU-ADI algorithm of linear-complexity is proposed to solve RLC P/G networks with mesh-tree or mesh-chain topologies. Experimental results show that the proposed method is at least two orders of magnitude faster than SPICE while it can scale linearly in both time- and memory-complexity to solve very large P/G networks. With soaring work frequency and decreasing feature sizes, VLSI circuits with RLC parasitic components are more like analog circuits and should be carefully analyzed in physical design. However, the number of extracted RLC components is typically too large to be analyzed efficiently by using present analog circuit simulators like SPICE. In order to speedup the simulations without error penalty, this paper proposes a novel methodology to compress the time-descritized circuits resulted from numerical integration approximation at every time step. The main contribution of the methodology is the efficient structure-level compression of DC circuits containing many current sources, which is an important complement to present circuit analysis theory. The methodology consists of the following parts: 1) An approach is proposed to delete all intermediate nodes of RL branches. 2) An efficient approach is proposed to compress and back-solve parallel and serial branches so that it is error-free and of linear complexity to analyze circuits of tree topology. 3) The Y to πtransformation method is used to error-free reduce and back-solve the intermediate nodes of ladder circuits with the linear complexity. Thus, the whole simulation method is very accurate and of linear complexity to analyze circuits of chain topology. Based on the methodology, we propose several novel algorithms for efficiently solving RLC-model transient power/ground (P/G) networks. Among them, EQU-ADI algorithm of linear-complexity is proposed to solve RLC P/G networks with mesh-tree or mesh-chain topologies. Experimental results show that the proposed method is at least two orders of magnitude faster than SPICE while it can scale linearly in both time- and memory-complexity to solve very large P/G networks.
出处 《Science in China(Series F)》 2006年第5期665-680,共16页 中国科学(F辑英文版)
基金 supported by the National Natural Science Foundation of China(Grant No.60476014) the State"973"Key Basic Research Program(Grant No.2005CB321604) the UC Senate Research Fund.
关键词 RLC circuits analog circuit analysis time-domain analysis PIG networks algorithm complexity RLC circuits, analog circuit analysis, time-domain analysis, PIG networks, algorithm complexity
  • 相关文献

参考文献1

二级参考文献12

  • 1Bai G, Bobba S, Hajj I N, et al. Simulation and optimization of the power distribution network in VLSI circuits [A]. In:Proceedings of IEEE/ACM International Conference on Computer-Aided Design, San Jose, CA, 2000. 481~486.
  • 2Cao Y, Lee Y, Chen T, et al. HiPRIME: Hierarchical and passivity reserved interconnect macromodeling engine for RLKC power delivery [A] . In: Proceedings of ACM/IEEE Design Automation Conference, New Orleans, LA, 2002. 379~384.
  • 3Zhao M, Panda R V, Sapatnekar S S, et al. Hierarchical analysis of power distribution networks [J]. IEEE Transactions on Computer-Aided Design, 1990, 9(2): 159~168.
  • 4Odabasioglu A, Celik M, Pilleggi L T. PRIME: Passive reduction-order interconnect macromodeling algorithm [J].IEEE Transactions on Computer-Aided Design, 1998, 17 (8):645 ~ 654.
  • 5Chen T, Chen C C. Efficient large-scale power grid analysis based on preconditioned Krylov-subspace iterative method [A].In: Proceedings of ACM/IEEE Design Automation Conference,Las Vegas, NV, 2001. 559~562.
  • 6Lee Y -M, Chen C -P. Power grid transient simulation in linear time based on transmission-line-modeling alternating direction implicit method [ A]. In: Proceedings of IEEE/ACM International Conference on Computer-Aided Design, San Jose,CA, 2001. 75~80.
  • 7Nassif S R, Kozhaya J N. Fast power grid simulation [A]. In:Proceedings of ACM/IEEE Design Automation Conference, Los Angeles, CA, 2000. 156~161.
  • 8Zhu Z, Yao B, Cheng C -K. Power network analysis using an adaptive algebraic multigrid approach [A]. In: Proceedings of IEEE/ACM International Conference on Computer-Aided Design, San Jose, CA, 2003. 105~108.
  • 9Su H, Acar E, Nassif S R. Power grid reduction based on algebraic multigrid principle [A]. In: Proceedings of IEEE/ACM International Conference on Computer-Aided Design, San Jose, CA, 2003. 109~112.
  • 10Kozhaya J N, Nassif S R, Najm F N. A multigrid-like technique for power grid analysis [A]. In: Proceedings of IEEE/ACM International Conference on Computer-Aided Design, San Jose,CA, 2001. 480~487.

共引文献4

同被引文献64

引证文献13

二级引证文献27

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部