期刊文献+

传输触发体系结构指导下的ASIP自动生成 被引量:2

Automatic Generation of Application Specific Instruction-Set Processors Directed by Transport Triggered Architecture
下载PDF
导出
摘要 提出传输触发体系结构(TTA)指导下的专用指令集处理器自动生成方法,可有效地解决指令集生成、可重定向编译和微结构设计等问题.TTA只包括一种指令即传输指令,避免了指令集生成的问题;在该方法的软件工具链中,语义翻译和调度相互独立,调度器无需关心语义,解决了可重定向编译的问题;微结构设计遵循统一模板,其寄存器传输级描述可自动生成.另外,针对应用的性能优化与连接优化过程是自动完成的.在密码算法领域的应用验证了该方法的有效性. A method that can automatically generate application specific instruction-set processors (ASIP) is presented. This method is directed by transport triggered architecture (TTA) and can solve instructionset generation, retargetable compiler and micro-architecture design efficiently. TTA has only one type of instruction, i.e. MOVE, this avoid the instruction set generation problem; in the tool chain, the syntax translation and scheduling are independent, the scheduler don't care about the syntax of function units, so the compiler is retargetable; micro-architectures are based on the same template, the register transfer level description of ASIP can be automatically generated. In addition, the performance optimization and connection optimization are both performed automatically. Applying this method to cipher algorithms validates its efficiency.
出处 《计算机辅助设计与图形学学报》 EI CSCD 北大核心 2006年第10期1491-1496,共6页 Journal of Computer-Aided Design & Computer Graphics
基金 国家自然科学基金(60173040)
关键词 专用指令集处理器 传输触发体系结构 设计自动化 可重定向编译 微体系结构 嵌入式处理器 application specific instruction-set processor transport triggered architecture design automation retargetable compiler micro architecture embedded processor
  • 相关文献

参考文献7

  • 1Keutzer K,Malik S,Newton A R.From ASIC to ASIP:the next design discontinuity[C] //Proceedings of IEEE International Conference on Computer Design.Piscataway:IEEE Computer Society Press,2002:84-90
  • 2Jain M K,Balakrishnan M,Kumar A.ASIP design methodologies:survey and issues[C] //Proceedings of the 14th International Conference on VLSI Design.Bangalore:IEEE Computer Society Press,2001:76-81
  • 3Gloria A D,Faraboschi P.An evaluation system for application specific architectures[C] //Proceedings of the 23rd Annual Workshop and Symposium on Microprogramming and Microarchitecture.Alamitos:IEEE Computer Society Press,1990:80-89
  • 4Ghazal N,Newton R,Jan R.Retargetable estimation scheme for DSP architecture selection[C] //Proceedings of the Asia and South Pacific Design Automation Conference 2000.New York:ACM Press,2000:485-489
  • 5吴百锋,彭澄廉,朱琦,孙晓光.嵌入式系统在资源争用条件下的软硬件划分[J].计算机辅助设计与图形学学报,2005,17(4):671-676. 被引量:2
  • 6陈艾,周学海,李曦,王志刚,王峰.专用指令集处理器(ASIP)行为级设计方法研究[J].计算机工程与应用,2004,40(29):44-46. 被引量:4
  • 7Corporaal Henk.Microprocessor architecture from VLIW to TTA[M].West Sussex:John Wiley & Sons Ltd,1998

二级参考文献17

  • 1Steven Bashford,Ulrich Bieker,Berthold Harking et al. The MIMOLA Language Version 4.1[EB/OL].http://ls12-www.cs.uni-dortmund.de/research/mimola-4.1 .ps.gz, 1994
  • 2Mark R Hartoog,James A Rowson,Prakash D Reddy et al. Generation of Software Tools from Processor Descriptions for Hardware/Software Codesigu[C].In:Proceedings of the 34th Design Automation Conference, 1997: 303~306
  • 3George Hadjiyiannis,Srinivas Devadas. Techniques for Accurate Performance Evaluation in Architecture Exploration[J].IEEE Transactions on VLSI Systems,2003; 11 (4) :601~615
  • 4Shinsuke Kobayashi, Kentaro Mita, Yoshinori Takeuchi et al. Rapid Prototyping of JPEG Encoder using the ASIP Development System:PEAS-Ⅲ[C].In:Proceedings of ICASSP'03,Hong Kong,2003.Ⅱ-485~488
  • 5Andreas Hoffmann,Tim Kogel,Achim Nohl et al.A Novel Methodology for the Design of Application-Specific Instruction-Set Processors(ASIPs)Using a Machine Description Language[J].IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems,2001 ;20(11):1338~1354
  • 6Ashok Halambi,Peter Grun,Vijay Ganesh et al. EXPRESSION:A Language for Architecture Exploration through Compile/Simulator Retargetability[C].In:Proceedings of DATE'99,Munich, 1999:485~490
  • 7Doug Burger,Todd M Austin.The SimpleScalar Tool Set,Version 2.0 [EB/OL].http://www.simplescalar.com/docs/users_gui de_v2.pdf , 1997
  • 8Gupta R K, Micheli G D. Hardware-software co-synthesis for digital systems [J]. IEEE Design & Test of Computer, 1993,10(3): 29~41.
  • 9Ernst R, Henkel J, Benner T. Hardware-software co synthesis for micro-controllers [J] . IEEE Design & Test of Computer,1993, 10(4): 64~75.
  • 10Kalavade A, Lee E A. The extended partitioning problem:Hardware/software mapping, scheduling and implementation-bin selection [J] . Design Automation for Embedded System, 1997,2(2): 125~164.

共引文献4

同被引文献15

  • 1王祚栋,魏少军.SOC时代低功耗设计的研究与进展[J].微电子学,2005,35(2):174-179. 被引量:19
  • 2岳虹,沈立,戴葵,王志英.基于TTA的嵌入式ASIP设计[J].计算机研究与发展,2006,43(4):752-758. 被引量:9
  • 3C Katsinis.A segmented-shared-bus multicomputer architecture[C].The 9th Int'l Conf on Parallel and Distributed Computing and Systems (PDCS' 97),Washington,1997
  • 4C H Yeh,B Parhami.Design of high-performance massively parallel architectures under pin limitations and non-uniform propagation delay[C].The 2nd AIZU Int'l Symp on Parallel Algorithms/Architecture Synthesis (PAS ' 97),Aizu-Wakamatsu,1997
  • 5K Keutzer,S Malik,A R Newton.From ASIC to ASIP:The next design discontinuity[C].IEEE Int'l Conf on Computer Design,Freiburg,2002
  • 6H Corporaal.Microprocessor Architectures:from VLIW to TTA[M].Chichester,West Sussex,England:John Wiley & Sons Ltd,1998
  • 7B R Rau,J A Fisher.Instruction-level parallel processing:History,overview and perspective[J].Journal of Supercomputing,1993,7(1):9-50
  • 8B Middha,V Raj,A Gangware,et al.A Trimaran based framework for exploring the design space of VLIW ASIPs with coarse grain functional units[C].The 15th Int'l Symp on System Synthesis,Kyoto,2002
  • 9D Liu,C Svensson.Power consumption estimation in CMOS VLSI chips[J].IEEE Journals of Solid-State Circuits,1994,29(6):663-670
  • 10W B Jone,J S Wang,H Lu,et al.Design theory and implementation for low-power segmented bus systems[J].ACM Trans on Design Automation of Electronic Systems,2003,8(1):38-54

引证文献2

二级引证文献4

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部