期刊文献+

SPWM的FPGA实现方法 被引量:11

The Method of SPWM with FPGA
下载PDF
导出
摘要 本文主要描述利用FPGA现场可编程门阵列器件作为控制核心,结合DDS数字频率合成技术直接形成SPWM脉宽调制波。首先将一个周期的正弦函数进行1024点的离散,并将离散后的数据按顺序存储到FPGA的RAM中。然后使用VHDL语言编程实现可逆计数器,利用可逆计数器完成三角函数的上升与下降,形成一个完整的三角函数,频率为正弦周期的N倍,并可调。其次将同一时刻的正弦函数值与三角函数制比较(即正弦调制),形成一路脉冲调制波。最后为防止同相桥臂功率器件的同时导通,采用按时关断、延时开通的单边不对称设置完成调制脉冲波的死区延时,形成最终的SPWM脉宽调制波,并用于电力电子逆变装置,使得系统设计简化,提高设备的可靠性。 Combine with the direct digital synthesizer (DDS) technology, use field programmable gate array (FPGA) chip as control unit, directly generate the sine pulse width modulation (SPWM).In order to generate the SPWM, first the sinusold which has been divided 1024 points should be stored into the RAM of FPGA. Then use reversiide counter form the triangle that program by VHDL hnguage. The frequencies of triangle are more than sinusoid and should be adjust. Then, Compare the sinusoid and triangle at same time to generate the SPWM, but in case of circulate at same time in one bridge power component, apply misbalance method to resolve it. We use the final SPWM to the power covert should make the system steadily and simply.
出处 《微计算机信息》 北大核心 2006年第12Z期146-148,共3页 Control & Automation
关键词 交直交变频VVVF 现场可编程门阵列FPGA 直接数字频率合成DDS 正弦脉宽调制SPWM Variable Voltage Variable Frequency VVVF,Field Programmable Gate Array FPGA,direct digital synthesizer DDS, sine pulse width modulation SPWM
  • 相关文献

参考文献4

二级参考文献3

  • 1潘松 黄继业 王国栋.现代DSP技术[M].西安电子科技大学出版社,2003年8月..
  • 2周祖成译.电子设计硬件描述语言VHDL[M].北京学苑出版社,1994年第一版..
  • 3StefanSjoholm LennartLindn 边计年 薛宏熙 译.VHDL设计电子线路[M].北京:清华大学出版社,2000..

共引文献75

同被引文献66

引证文献11

二级引证文献43

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部