期刊文献+

Higher-Level Hardware Synthesis of the KASUMI Algorithm

Higher-Level Hardware Synthesis of the KASUMI Algorithm
原文传递
导出
摘要 Programmable Logic Devices (PLDs) continue to grow in size and currently contain several millions of gates. At the same time, research effort is going into higher-level hardware synthesis methodologies for reconfigurable computing that can exploit PLD technology. In this paper, we explore the effectiveness and extend one such formal methodology in the design of massively parallel algorithms. We take a step-wise refinement approach to the development of correct reconfigurable hardware circuits from formal specifications. A functional programming notation is used for specifying algorithms and for reasoning about them. The specifications are realised through the use of a combination of function decomposition strategies, data refinement techniques, and off-the-shelf refinements based upon higher-order functions. The off-the-shelf refinements are inspired by the operators of Communicating Sequential Processes (CSP) and map easily to programs in Handel-C (a hardware description language). The Handel-C descriptions are directly compiled into reconfigurable hardware. The practical realisation of this methodology is evidenced by a case studying the third generation mobile communication security algorithms. The investigated algorithm is the KASUMI block cipher. In this paper, we obtain several hardware implementations with different performance characteristics by applying different refinements to the algorithm. The developed designs are compiled and tested under Celoxica's RC-1000 reconfigurable computer with its 2 million gates Virtex-E FPGA. Performance analysis and evaluation of these implementations are included. Programmable Logic Devices (PLDs) continue to grow in size and currently contain several millions of gates. At the same time, research effort is going into higher-level hardware synthesis methodologies for reconfigurable computing that can exploit PLD technology. In this paper, we explore the effectiveness and extend one such formal methodology in the design of massively parallel algorithms. We take a step-wise refinement approach to the development of correct reconfigurable hardware circuits from formal specifications. A functional programming notation is used for specifying algorithms and for reasoning about them. The specifications are realised through the use of a combination of function decomposition strategies, data refinement techniques, and off-the-shelf refinements based upon higher-order functions. The off-the-shelf refinements are inspired by the operators of Communicating Sequential Processes (CSP) and map easily to programs in Handel-C (a hardware description language). The Handel-C descriptions are directly compiled into reconfigurable hardware. The practical realisation of this methodology is evidenced by a case studying the third generation mobile communication security algorithms. The investigated algorithm is the KASUMI block cipher. In this paper, we obtain several hardware implementations with different performance characteristics by applying different refinements to the algorithm. The developed designs are compiled and tested under Celoxica's RC-1000 reconfigurable computer with its 2 million gates Virtex-E FPGA. Performance analysis and evaluation of these implementations are included.
出处 《Journal of Computer Science & Technology》 SCIE EI CSCD 2007年第1期60-70,共11页 计算机科学技术学报(英文版)
关键词 data encryption formal models gate array METHODOLOGY parallel algorithms data encryption, formal models, gate array, methodology, parallel algorithms
  • 相关文献

参考文献16

  • 1Xilinx. http://www.xilinx.com.
  • 2Altera. http://www.Altera.com.
  • 3Celoxica. http://www.celoxica.com.
  • 4Edwards D, Harris S, Forge J. High performance hardware from java. Xilinx Whitepaper, http://www.xilinx.com.
  • 5Li Y, Callahan T, Darnell E et al. "Hardware-software codesign of embedded reconfigurable architectures. In Proc. the 37th Design Automation Conference, Los Angeles, USA, June 2000, p.30.
  • 6SystemC Network. http://www.systemc.org.
  • 7Abdallah A E. Functional Process Modelling. Research Directions in Parallel Functional Programming, Hammond K,Michealson G (eds.), Springer Verlag, October 1999, pp.339-360.
  • 8Abdallah A E, Hawkins J. Formal behavioural synthesis of Handel-C parallel hardware implementation for functional specifications. In Proc. the 36th Annual Hawaii Int. Conf.System Sciences, IEEE Computer Society Press, January,2003, pp.278-288.
  • 9Bird R, Introduction to Functional Programming Using Haskell. Addison Wesley, 1999.
  • 10Hoare C A R. Communicating Sequential Processes. Prentice-Hall, 1985.

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部