期刊文献+

基于计量学的线边缘粗糙度定义 被引量:4

DEFINITION OF LINE EDGE ROUGH-NESS BASED ON METROLOGY
下载PDF
导出
摘要 对目前线边缘粗糙度(Line edge roughness,LER)的研究进行了分类,区分线宽变化率、线的边缘粗糙度和侧墙(边缘)粗糙度的物理本质。重新给出一个LER定义,定义LER是由加工工艺和材料本身结构引起的刻线侧墙的表面形貌微观不规则程度,并分析给出定义的合理性。给出该定义和ITRS定义间的换算关系。结合刻线的加工过程主要发生在刻线的边缘表面,且该加工过程发生于深纳米尺度,给出一种基于原子尺度的LER计量模型,在该模型中分离了材料本质粗糙度。 The current study status of line edge roughness (LER) is analyzed, which indicates LER itself has already been used to refer to many properties including line width uniformity, roughness of line edge and sidewall roughness. And the different meaning of LER is explained. A new definition of LER is brought forward, i.e, LER is the microcosmic roughness of the sidewall topography, which is caused by processing and the character of materials measured. At the same time, explain the definition relation with it from ITRS and also analysis this definition rationality. According to the process which is mainly on the edge surface and dimension is in nanometer field, a mathematics model to calculate LER is shown in atomic dimension. In this model, the roughness from the material intrinsical character is separated.
出处 《机械工程学报》 EI CAS CSCD 北大核心 2007年第1期214-218,共5页 Journal of Mechanical Engineering
基金 2003年教育部留学回国人员科研启动基金 哈尔滨工业大学校基金(HIT.2002.28)资助项目
关键词 纳米计量 临界尺寸 线边缘粗糙度 材料本质粗糙度 扫描电子显微镜(SEM) 原子力显微镜(AFM) Nano-measurement Critical dimension Line edge roughness Intrinsical material roughnessScanning electron microscopy (SEM) Atomic force microscope (AFM)
  • 相关文献

参考文献13

  • 1Metrology2005.The semiconductor industry association[EB/OL].http://www.public.itrs.net,2006.
  • 2JANGHO S.Line edge roughness study of next generation lithography:carbon nanotubes application to subhundred nanomerter parttern metrology[D].Advisor Cerfina University of Wisconsin-Madison,2003.
  • 3KIM S D,WADA H,WOO J C S.Tcad-based statistical analysis and modeling of gate line-edge roughness effect on nanoscale MOS transistor performance and scaling[J].IEEE Transactions on Semiconductor Manufacturing,2004,17(2):192.
  • 4李洪波,赵学增,褚巍,肖增文.半导体工业中临界尺寸线边缘粗糙度的测量[J].哈尔滨工业大学学报,2005,37(5):674-678. 被引量:5
  • 5NELSON C,PALMATEER S C,FORTE A R,et al.Comparson of metrology methods for quantifying the line edge roughness of patterned features[J].Vacuum Science and Technology B:Microelectronics and Nanometer Structures,1999,17:2 488-2 498.
  • 6ORJI N G,VORBURGER T V,FU J,et al.Line edge roughness metrology using atomic force microscopes[J].Meas.Sci.Technol.,2005,16(11):2 147-2 154.
  • 7Terminology for microlithography metrology[EB/OL].http://www.semiconductorfabtech.com/journals/edition.18,2002.
  • 8CREATH K.Submicron linewidth measurement using an interferometric optical profiler[C]//Proceedings of SPIEThe International Society for Optical Engineering 1991,1464:474-483.
  • 9FY 2000-advanced technology program intramural funding proposal[EB/OL].http://www.mel.nist.gov/proj,2003.
  • 10JIN YU,YOSHIHARU N.Atomic surface roughness[J].Applied Physics Letter,1988,73(24):3 607-3 609.

二级参考文献31

  • 1X.Huang et al.Symp.VLSI Tech.Dig.p 174-175,2000
  • 2Asenov A.et al.Intrinsic parameter fluctuations in decananometer mosfets introduced by gate line edge roughness.Electron Devices,IEEE Transactions on,Volume:50 Issue:5,May 2003 Page(s):1254-1260
  • 3廖明吉.0.1微米世代的微影解决方法[J].奈米通讯,第五卷,第四期.http://www.ndl.gov.tw,:.
  • 4Kurashima Y.et al.Evaluation of line edge roughness in nanoimprint using photo-curable polymer.Microprocesses and Nanotechnology Conference,2002.Digest of Papers.Microprocesses and Nanotechnology 2002.2002 International,6-8 Nov.2002 Page(s):158-159
  • 5Carlos H.Díaz,Hun-Jan Tao et al.An Experimentally Validated Analytical Model For Gate Line-Edge Roughness (LER) Effects on Technology Scaling.IEEE ELECTRON DEVICE LETTERS,VOL.22,NO.6,JUNE 2001 Page(s):287-289
  • 6Kurashima Y.et al.Evaluation of line edge roughness in nanoimprint using photo-curable polymer.Microprocesses and Nanotechnology Conference,2002.Digest of Papers.Microprocesses and Nanotechnology 2002.2002 International,6-8 Nov.2002 Page(s):158-159
  • 7Shin J.et al.Resist line edge roughness study for nest generation lithography:experiment and modeling.Microprocesses and Nanotechnology Conference,2002.Digest of Papers.Microprocesses and Nanotechnology 2002.2002 International,6-8 Nov.2002 Page(s):82-83
  • 8Yamaguchi A.,Komuro O..Characterization of line edge roughness in resist patterns by Fourier analysis and auto-correlation function. Microprocesses and Nanotechnology Conference,2002.Digest of Papers.Microprocesses and Nanotechnology 2002.2002 International,6-8 Nov.2002 Page(s):80-81
  • 9Mark h.et al.study of fundamental contributions to line edge roughness in a 193 nm,top surface imaging system.j vac sci technolb 18:(5)2551-2559 sep-oct 2000
  • 10S.Winkelmeier,M.et al.Metrology method the correlation of line edge roughness for different resists before and after etch.Microelectronic Engineering,57-58(2001)665-672

共引文献5

同被引文献67

  • 1吴兆喜,黄元庆.基于光学原理的三维形貌测量技术研究[J].光学技术,2006,32(z1):654-658. 被引量:14
  • 2姜志国,史文华,韩冬兵,孙维忠,刘莉.基于聚焦合成的显微三维成像系统[J].CT理论与应用研究(中英文),2004,13(4):9-15. 被引量:18
  • 3李洪波,赵学增,褚巍,肖增文.半导体工业中临界尺寸线边缘粗糙度的测量[J].哈尔滨工业大学学报,2005,37(5):674-678. 被引量:5
  • 4肖增文,赵学增,李洪波.AFM轻敲模式中微悬臂振动的研究[J].振动与冲击,2006,25(5):183-185. 被引量:4
  • 5Metrology 2007. The Semiconductor Industry Association[ EB/ OL]. http://public. itrs. net, 2008.
  • 6Bishop M R, Bunday B, Villarrubia J S, et al. CD- SEM Measurement of Line Edge Roughness Test Patterns for 193 nm Lithography [ J ]. Proceedings of SPIE, 2003, ( 5038 ) : 674 - 688.
  • 7Yoshizawa M. Resolution limiting mechanism in electron beam hthography[J]. Electronics Letters, 2000, 36( 1): 90 -91.
  • 8Shin J. Line edge roughness study of next generation lithography: carbon nanotubes application to subhundred rranomerter pattem metrology [ D ]. Madison: University of Wisconsin - Madison, 2003.
  • 9Patsis G P, Constantoudis V, Tserepi A, et al. Roughness analysis of lithographically produced nanostructures: off line measurement, scaling analysis and monte carlo simulations [ EB/OL]. http://cgi. di. uoa. gr, 2008.
  • 10Winkelmeier S, Sarstedt M, Ereken M, et al. Metrology method for the correlation of hne edge roughness for different resists before and after etch[ J]. Microelectronic Engineering, 2001, (57 - 58) : 665 - 672.

引证文献4

二级引证文献17

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部