期刊文献+

基于VHDL语言的八位时序奇偶校验器的设计 被引量:2

下载PDF
导出
摘要 本文介绍了数据传输中经常用到的校验方法——奇偶校验,给出了串行通信校验需要的八位时序奇偶校验器的VHDL设计原理。并利用Altera公司的Max+PlusⅡ集成设计环境完成了该校验器的VHDL源代码输入和仿真测试。
出处 《科技信息》 2006年第01S期156-156,155,共2页 Science & Technology Information
  • 相关文献

参考文献1

二级参考文献2

共引文献5

同被引文献10

引证文献2

二级引证文献1

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部