期刊文献+

处理器容错技术研究与展望 被引量:36

Processor Fault-Tolerance Technology Research and Prospect
下载PDF
导出
摘要 随着生产工艺的进步和硅形体尺寸的缩小,计算机系统面临着前所未有的瞬态故障影响,可信计算已经成为桌面级和嵌入式系统设计和应用的热点,其中以处理器的可信设计为核心.首先,从容错技术角度对处理器提出了一种新颖的、比较全面的分类方法;在此基础上,以处理器容错技术发展趋势为线索,对目前流行的处理器结构、微结构的容错机制和容错技术以及不同层次上有代表性的最新研究成果做了介绍和分析;最后,对处理器容错技术研究新趋势及其发展方向提出了意见和建议. As technology advances and silicon feature size shrinks, computer system is faced with inevitably increasing risks of transient-fault susceptibility. Accordingly, processor dependability and trustworthiness have become the major problems of the application systems. Recently, much work has been done at different levels to accomplish fault-tolerance in processor systems against transient-faults. In this paper, a novel and comprehensive taxonomy of the latest processor fault-tolerance researches is put forward. Based on this taxonomy, the techniques of incorporating fault-tolerance, especially transient fault-tolerance, in modern processor systems at different levels are reviewed. Some important processor fault-tolerance architectures and representative researches are also briefly introduced and analyzed. Finally, some valuable advice and possible trends in processor fault-tolerance researches are proposed, hoping they will benefit related researchers.
出处 《计算机研究与发展》 EI CSCD 北大核心 2007年第1期154-160,共7页 Journal of Computer Research and Development
关键词 可信计算 处理器容错 高性能 低功耗 瞬态故障 容错行为 trustworthy computing processor fault-tolerance high performance low power transient fault fault-tolerance behavior
  • 相关文献

参考文献42

  • 1Premkishore Shivakumar,Michael Kistler,Stephen W Keckler,et al.Modeling the effect of technology trends on the soft error rate of combinational logic[C].2002 Int'l Conf on Dependable Systems and Networks,Bethesda,USA,2002
  • 2P P Shirvani,E J McCluskey.PADded cache:A new fault tolerance technique for cache memories[C].IEEE 17th VLSI Test Symposium,San Diego,1999
  • 3M Rebaudengo,M Sonza Reorda,M Violante.An accurate analysis of the effects of soft errors in the instruction and data caches of a pipelined microprocessor[C].Design Automation and Test in Europe Conference and Exhibition,Munich,Germany,2003
  • 4Shubhendu S Mukherjee,Joel Emer,Tryggve Fossum,et al.Cache scrubbing in microprocessors:Myth or necessity[C].The 10th Int'l Symp on Pacific Rim Dependable Computing (PRDC),Papeete,2004
  • 5B Nicolescu,P Peronnard,R Velazco,et al.Efficiency of transient bit-flips detection by software means:A complete study[C].The 18th IEEE Int'l Symp on Defect and Fault Tolerance in VLSI Systems (DFT'03),Cambridge,2003
  • 6A Avizienis.The N-version approach to fault-tolerant software[J].IEEE Trans on Software Engineering,1985,11(12):1491-1501
  • 7Z Alkhalifa,V S S Nair,N Krishnamurthy,et al.Design and evaluation of system level checks for on-line control flow error detection[J].IEEE Trans on Parallel and Distributed Systems,1999,10(6):627-641
  • 8B Nicolescu,R Velazco.Detecting soft errors by a purely software approach:method,tools and experimental results[C].Design Automation and Testing in Europe (DATE 2003).Messe Munich,Germany,2003
  • 9Y Nakamoto.Operating system supports to enhance fault tolerance of real-time systems[C].The 8th Int'l Workshop on Object-Oriented Real-time Dependable Systems,Guadalajara,Mexico,2003
  • 10T J Slegel,et al.IBM's S/390 G5 Microprocessor Design[J].IEEE Trans on Micro,1999,19(2):12-23

二级参考文献84

  • 1傅忠传,陈红松,崔刚,杨孝宗.处理器容错技术研究与展望[J].计算机研究与发展,2007,44(1):154-160. 被引量:36
  • 2J Chavade, Y Crouzet. The P. A. D.: A self-checking LSI circuit for fault-detection in microcomputers. The 12th Int'l Symp on Fault-Tolerant Computing, Santa Monica, 1982
  • 3Y Tamir, M Liang, T Lai, et al. The UCLA mirror processor: A building block for self-checking self-repairing computing nodes. The 21st Int'l Symp on Fault-Tolerant Computing, Montréal, 1991
  • 4N Kanekawa, et al. Fault detection and recovery coverage improvement by clock synchronized duplicated systems with optimal time diversity. The 28th Int'l Symp on Fault-Tolerant Computing, Munich, 1998
  • 5N Kanekawa, et al. Self-checking and fail-safe LSIs by intra-chip redundancy. The 26th Int'l Symp on Fault-Tolerant Computing, Sendai, 1996
  • 6D K Pradhan. Fault Tolerant Computer System Design. Englewood Cliffs, NJ: Prentice-Hall, 1996
  • 7E S Sogomonyan, et al. Early error detection in systems-on-chip for fault-tolerance and at-speed debugging. The 19th IEEE VLSI Test Symposium, Marina Del Rey, 2001
  • 8A D Singh, E S Sogomonyan, M Gssel. Testability evaluation of sequential design incorporating the multi-mode scannable memory element. The Int'l Test Conference, Atlantic, 1999
  • 9E S Sogomonyan, A D Singh, M Gssel. A multi-mode scannable memory element for high test application efficiency and delay testing. Journal of Electronic Testing: Theory and Applications. 1999, 15(1): 87~96
  • 10T J Slegel, et al. IBM's S/390 G5 microprocessor design. IEEE MICRO, 1999, (2): 12-22

共引文献3

同被引文献318

引证文献36

二级引证文献129

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部