期刊文献+

基于指令行为的Cache可靠性评估研究 被引量:4

Research on Reliability Evaluation of Cache Based on Instruction Behavior
下载PDF
导出
摘要 软错误由高能粒子撞击所产生,对处理器的可靠性产生很大的损害.随着处理器设计目标转向低功耗、高性能和低供电电压,软错误的发生日益频繁,处理器的可靠性研究也随之受到越来越多的关注.针对传统的基于注错仿真的可靠性评估方法效率低的缺陷,提出了一套系统的cache可靠性评估方法,以可靠性指标之一---体系结构易受损因子(architectural vulnerability factor,AVF))---为研究对象,一方面,基于指令行为分析应用程序运行过程中对最终结果不产生影响的指令,从而确定对cache的AVF产生作用的指令;另一方面,根据cache的存储类型、所采取的写策略,结合cache中数据/指令阵列和地址标识阵列的特点,对cache上的各种相邻操作组合对AVF的影响进行了研究,从而完成AVF评估所需的信息分析.实验部分对PISA体系结构指令cache中的指令阵列进行了AVF评估,说明了该方法的有效性. Soft error arises from the strike of high-energy particle, and does great harm to the reliability of processor. Furthermore, with the change of design targets of processor to low power consumption, high performance, and the reduction of supplying voltage, the occurrence possibility of soft error arises greatly. As a result, research on reliability of processor receives much more attention than ever. Aiming at solving the problem of low efficiency of traditional evaluation methods, which mostly apply fault-injection methods, this paper presents a systematic evaluation method of the indispensable memory unit in processor, cache. It takes an evaluation attribute, architectural vulnerability factor as research object. On the one hand, this method analyzes instructions that have no impact on the final execution result of application program to get the instructions that affect AVF. On the other hand, according to memory type, writing policy, and features of data/instruction and address tag array of cache, it analyzes various combination of neighboring operations' effects on AVF, thus attaining the needed information in AVF evaluation process. In the experiment, architectural vulnerability factor evaluation of instruction array of cache in PISA architecture is performed. The experiment results demonstrate the validity of this method.
出处 《计算机研究与发展》 EI CSCD 北大核心 2007年第4期553-559,共7页 Journal of Computer Research and Development
基金 国家自然科学基金项目(60273042) 高等学校博士学科点专项科研基金项目(20050358040) 安徽省自然科学基金项目(070412030)
关键词 可靠性 体系结构易受损因子 CACHE 无效指令 reliability architectural vulnerability factor cache ineffective instruction
  • 相关文献

参考文献11

  • 1S S Mukherjee,Joel Emer,S K Reinhardt.The soft error problem:An architectural perspective[C].In:Proc of the 11th Int'l Symp on High-Performance Computer Architecture.Los Alamitos,CA:IEEE Computer Society Press,2005.243-247
  • 2Robert Baumann.Soft errors in commercial semiconductor technology:Overview and scaling trends[C].In:IEEE 2002 Reliability Physics Tutorial Notes,Reliability Fundamentals.Piscataway,NJ:IEEE Press,2002
  • 3S S Mukherjee,et al.A systematic methodology to compute the architectural vulnerability factors for a high-performance microprocessor[C].In:Proc of the 36th Int'l Symp on Microarchitecture.Los Alamitos,CA:IEEE Computer Society Press,2003
  • 4N Wang,T Rafacz,J Quek,et al.Characterizing the effects of transient faults on a modern high-performance processor pipeline[C].In:Proc of the Int'l Conf on Dependable Systems and Networks.Los Alamitos,CA:IEEE Computer Society Press,2004
  • 5S Kim,A K Somani.Soft error sensitivity characterization for microprocessor dependability enhancement strategy[C].In:Proc of the Int'l Conf on Dependable Systems and Networks.Los Alamitos,CA:IEEE Computer Society Press,2002.416-425
  • 6P Shivakumar,et al.Modeling the effect of technology trends on the soft error rate of combinational logic[C].In:Proc of the Int'l Conf on Dependable Systems and Networks.Los Alamitos,CA:IEEE Computer Society Press,2002
  • 7G P Saggese,et al.An experimental study of soft error in microprocessors[J].IEEE Micro,2005,25(6):30-39
  • 8Joel B Nickel,Arun K Somani.REESE:A method of soft error detection in microprocessors[C].In:Proc of the Int'l Conf on Dependable Systems and Networks.Los Alamitos,CA:IEEE Computer Society Press,2001.401-410
  • 9Nicholas J Wang,Sanjay J Patel.ReStore:Symptom based soft error detection in microprocessors[C].In:Proc of the Int'l Conf on Dependable Systems and Networks.Los Alamitos,CA:IEEE Computer Society Press,2005
  • 10陆岚,王克祥,熊悦,赵振西.系统级体系结构仿真器的研究与实现[J].小型微型计算机系统,2002,23(1):14-17. 被引量:1

同被引文献79

  • 1贺朝会,杨秀培,张卫卫,褚俊,任学明,夏春梅,王宏全,肖江波,李晓林.应用α源评估静态存储器的软错误[J].原子能科学技术,2006,40(B09):192-195. 被引量:2
  • 2黄海林,唐志敏,许彤.龙芯1号处理器的故障注入方法与软错误敏感性分析[J].计算机研究与发展,2006,43(10):1820-1827. 被引量:31
  • 3傅忠传,陈红松,崔刚,杨孝宗.处理器容错技术研究与展望[J].计算机研究与发展,2007,44(1):154-160. 被引量:36
  • 4Mukherjee S S, Emer J S, Reinhardt S K. The soft error problem: An architectural perspective [C]//Proc of Int Syrup on High-Performance Computer Architecture (HPCA'05). Los Alamitos, CA: IEEE Computer Society, 2005:243-247.
  • 5Gaisler J. A portable and fault-tolerant microprocessor based on the SPARC V8 architecture [C] //Proc of IEEE Int Conf on Dependable Systems and Networks (DSN'02). Los Alamitos, CA: IEEE Computer Society, 2002:409-415.
  • 6Knudsen J E, Clark L T. An area and power efficient radiation hardened by design flip-flop [J]. IEEE Trans on Nuclear Science 2006, 53(6): 3392-3399.
  • 7Holcomb D, Li W, Seshia S A. Design as you see FIT: System-level soft error analysis of sequential circuits [C] // Proc of Design Automation and Test in Europe (DATE'09). San Francisco: Morgan Kaufmann, 2009:785-790.
  • 8Mohanram K, Touba N A. Partial error masking to reduce soft error failure rate in logic circuits [C] //Proc of the 18th Int Symp on Defect and Fault-Tolerance in VLSI Systems (DFT'03). Los Alamitos, CA: IEEE Computer Society, 2003: 433-440.
  • 9Mohanram K, Touba N A. Cost-effective approach for reducing soft error failure rate in logic circuits[C] //Proc of Int Test CoM (ITC'03). Los Alamitos, CA: IEEE Computer Society, 2003: 893-901.
  • 10Miskov-Zivanov N, Marculeseu D. MARS-C.. Modeling and reduction of soft errors in combinational circuits [C]//Proc of the 43rd ACM/IEEE Design Automation Conference (DAC'06). NewYork: ACM, 2006:767-772.

引证文献4

二级引证文献6

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部