期刊文献+

基于FPGA技术的存储器设计及其应用 被引量:1

Design and Application of Memory Based on FPGA
下载PDF
导出
摘要 本文介绍了基于FPGA技术的存储器构造方法,重点介绍利用库函数构造双端口RAM的方法。进而结合高速数据采集的特点,详细介绍了双端口RAM,以及配合双端口RAM工作需要而涉及到的地址发生器、读写控制逻辑等外围电路在FPGA中的实现。 This article discusses the construction method of memory based on FPGA, and explains how to construct the dual ports RAM by using library function method emphatically. Relating to the characteristic of high-speed data sampling technology, the paper introduces the implementation of dual ports RAM, address generator and read/write control logic in FPGA.
出处 《电子设计应用》 2007年第6期98-98,100-102,共4页 Electronic Design & Application World
  • 相关文献

同被引文献2

引证文献1

二级引证文献2

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部