期刊文献+

基于FPGA的反正切函数的优化算法 被引量:13

The Design and optimization of arc tangent calculate circuit based on FPGA
下载PDF
导出
摘要 主要描述了一种基于FPGA利用Verilog HDL实现的反正切函数计算的优化算法。反正切函数的计算在相位检测,偏振光检测等检测系统有重要的应用。讨论了泰勒展开式法和直接LUT查找表法缺点,提出一种新的优化LUT查找表算法。该算法能够被综合器综合实现,可以直接集成在FPGA应用系统、SOC系统或SOPC系统上,并且经软件仿真和硬件验证,达到了设计要求。  This paper mainly describes an Design and optimization of arc tangent calculate circuit based on FPGA. Arc tangent calculation is vital in such systems for phasic detection ,polarizable optic detection. The flaws of Taylor launched and LUT are discussed, a optimized algorithm for LUT is presented. This algorithm would be completed by integrative unit, integrated on FPGA SOPC circuit system. Software simulation and hardware experiment have been done for this algorithm, the results demonstrate the algorithm is suitable for our project.
出处 《微计算机信息》 北大核心 2007年第17期203-204,289,共3页 Control & Automation
基金 航天科工集团科技发展创新基金
关键词 反正切 现场可编程门阵列 查找表 arc tangent, FPGA, LUT
  • 相关文献

参考文献1

二级参考文献3

  • 1潘松 黄继业 王国栋.现代DSP技术[M].西安电子科技大学出版社,2003年8月..
  • 2周祖成译.电子设计硬件描述语言VHDL[M].北京学苑出版社,1994年第一版..
  • 3StefanSjoholm LennartLindn 边计年 薛宏熙 译.VHDL设计电子线路[M].北京:清华大学出版社,2000..

共引文献12

同被引文献69

引证文献13

二级引证文献26

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部