期刊文献+

从Spectre Verilog到AMS Designer:移植与可用性改良

下载PDF
导出
摘要 Virtuoso AMS Designer(AMSD)包含大量尖端功能,超越了SpectreVerilog等其他解决方案。尽管如此,很多客户仍然使用SpectreVerilog,因为从SpectreVerilog移植到AMSD存在很多障碍和易用性问题。本文将会讨论在PDK转化、设计调整和Verilog文本用法等中间会遇到的障碍以及解决方案。此外,本文还会讨论具体的性能改进以及可用性和可调试性的改进。AMSD流程中加入了新的网表分析器(OSS网表分析器),以及一种新的仿真器流程,使得这些解决方案成为可能。此外AMSD仿真器本身也有很多重大性能改进。本文的最后部分将会详细介绍简单易行的移植过程,并且将会对照SpectreVerilog讨论具体的性能改进。这种新的方法学让客户可以用最低的代价迅速移植到AMSD并享受其丰富的语言支持和功能,从而大大提高设计工程师的生产效率。根据本文中所提到的解决方案,SpectreVerilog移植到AMSD的过程可以从一个月缩短至不到一天。设计工程师的效率也因为可用性、可调试性和性能的提升而得到进一步提升。这些都将在本文中提到,并附带性能的对比。
机构地区 Cadence公司
出处 《中国集成电路》 2007年第10期29-36,共8页 China lntegrated Circuit
  • 相关文献

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部