期刊文献+

片上网络映射问题的改进禁忌搜索算法 被引量:16

An Improved Tabu Search Algorithm for Network-on-Chip Mapping
下载PDF
导出
摘要 为求解通信时延受约束的低能耗片上网络(NoC)映射问题,提出一种改进禁忌搜索算法.该算法由局部搜索和精英重组2个步骤经过多次迭代完成,局部搜索采用简化的robust tabu search(RoTS),精英重组步骤选用CO-HX交叉操作.实验结果表明:文中算法与RoTS相比具有优化性能好、搜索空间小的优点,映射结果比分支限界法平均节能16.1%,适于求解大规模NoC映射问题. An improved tabu search algorithm is proposed to solve the low energy network-on-chip (NoC) mapping problem subject to communication latency constraints. An efficient local search and subsequent reconstruction of elite solutions is applied in an iterated way. The local search procedure uses simplified robust tabu search (ROTS) . In the reconstruction procedure, COHX crossover operator is adopted to produce new feasible solution. Experimental results demonstrate that the improved tabu search algorithm can give better quality solutions and smaller searching space than ROTS, and 16.1% energy savings are achieved, on average, compared to branch and bound algorithm. It is more effective to solve large-scale NoC mapping problems.
出处 《计算机辅助设计与图形学学报》 EI CSCD 北大核心 2008年第2期155-160,共6页 Journal of Computer-Aided Design & Computer Graphics
基金 国家“八六三”高技术研究发展计划(2006AA01Z173)
关键词 片上网络映射 能耗 时延 禁忌搜索 交叉 NoC mapping energy consumption latency tabu search crossover
  • 相关文献

参考文献20

  • 1Bjerregaard T, Mahadevan S. A survey of research and practices of network-on-chip [J]. ACM Computing Surveys, 2006, 38 (1): 1-51
  • 2Ogras U Y, Hu J, Mareuleseu R. Key research problems in NoC design: a holistic perspective [C]//Proceedings of the 3rd IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, Jersey City, 2005 : 69 -74
  • 3高明伦,杜高明.NoC:下一代集成电路主流设计技术[J].微电子学,2006,36(4):461-466. 被引量:31
  • 4张磊,李华伟,李晓维.用于片上网络的容错通信算法[J].计算机辅助设计与图形学学报,2007,19(4):508-514. 被引量:18
  • 5Murali S, De Micheli G. Bandwidth-constrained mapping of cores onto NoC architectures [C] //Proceedings of Design, Automation and Test in Europe Conference and Exhibition, Paris, 2004: 896- 901
  • 6Ascia G, Catania V, Palesi M. Multi-objective mapping for mesh-based NoC architectures [C] //Proceedings of the 2nd IEEE/ACM/IFIP International Conference Hardware/Software Codesign and System Synthesis, Stockholm, 2004: 182-187
  • 7Hu J, Marculescu R. Energy-aware mapping for tile-based NoC architectures under performance constraints [ C] //Proceedings of Asia South Pacific Design Automation Conference, Kitakyushu, 2003 : 233-239
  • 8Lei T, Kumar S. A two-step genetic algorithm for mapping task graphs to a network on chip architecture [C] //Proceedings of the Euromicro Symposium on Digital Systems Design, Belek, Turkey, 2003:180-187
  • 9Rhee Chae-Eun, Jeong Hart-You, Ha Soonhoi. Many-to-really core-switch mapping in 2-D mesh NoC architectures [C] // Proceedings of IEEE International Conference on Computer Design, San Jose, CA, 2004:438-443
  • 10Wu Chia-Ming, Chi Hsin-Chou, Lee Ming-Chao. Mapping of IP cores to network-on-chip architectures based on communication task graphs [C] //Proceedings of the 6th International Conference on ASIC, Shanghai, 2005:953-956

二级参考文献62

  • 1王凌.混合优化策略和神经网络中若干问题的研究[M].北京:清华大学,1999..
  • 2J S Kim,M B Taylor,J Miller et al.Energy characterization of a tiled architecture processor with on-chip networks[C].In:Proc of the International Symposium on Low Power Electronics and Design,2003: 424-427.
  • 3D Shin,J Kim.Power-aware communication optimization for networks-on-chips with voltage scalable links[C].In:CODES+ISSS 04, 2004-09:8-10.
  • 4S Sahni,T Gonzales.P-complete approximation problems[J].J ACM,1976;23:555-565.
  • 5L Benini,G D Micheli.Powering networks on chips-energy-efficient and reliable interconnect design for SoCs[C].In:ISSS 01,2001,Montreal, Quebec, Canada, 2001.
  • 6N Eisley,L-S Peh.High-level power analysis for on-chip networks[C].In: CASES 04, Washington, DC, USA, 2004: 22-25.
  • 7T Simunic,S Boyd.Managing power consumption in networks on chips[C].In:Proc of Design,Automation,and Test in Europe,2002:110-116.
  • 8J Kim,M Horowitz.Adaptive supply serial links with sub-1V operation and per-pin clock recovery[C].In:Proc of the International Solid-State Circuits Conference ,2002.
  • 9L Shang,L-S Peh,N K Jha.Dynamic voltage scaling with links for power optimization of interconnection networks[C].In :Proc of International Symposium on High-Performance Computer Architecture,2003.
  • 10V Soteriou, L-S Peh.Dynamic power management for power optimization of interconnection networks using on/off links[C].In:Proc of Symposium on High Performance Interconnects,2003:15-20.

共引文献68

同被引文献144

引证文献16

二级引证文献30

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部