期刊文献+

CMOS电路晶体管级功耗优化方法 被引量:2

Transistor-Level Methodology on Power Optimization for CMOS Circuits
下载PDF
导出
摘要 随着集成电路工艺进入纳米时代,在集成电路设计约束重要性方面,功耗已成为与性能等量齐观的设计约束.由于缺少有效的晶体管级时延模拟器,所以现有的低功耗设计技术均为逻辑门级功耗优化方法.受惠于更低的优化颗粒度,晶体管级优化方法具有比逻辑门级方法更强的静态功耗优化能力,因此针对高静态功耗的纳米工艺芯片,开展晶体管级优化方法的研究具有非常重要的意义.基于晶体管级VLSI模拟器,提出了一种新的晶体管级优化方法用于进一步降低静态功耗,它由两个算法步骤构成:先用聚团策略(clustering)在逻辑门空间来提高优化算法的效率,再用粒度较小的晶体管空间优化算法来提高功耗的优化效果.实验证明所提方法具有以下优点:1)该方法适用范围较广,可以分析和优化各种电路.这些电路中,每个晶体管都可以有不同的阈值电压VT0、沟道宽度W和沟道长度L.2)该方法的功耗优化效果较好.在晶体管级W+VT0+L的功耗优化实验中,该方法在不降低动态功耗优化效果的前提(动态功耗平均仅增加0.02%)下,在合理的运行时间(优化C7552仅用856.4s)内,在晶体管级对逻辑门级优化结果进行进一步优化,使静态功耗得到进一步降低,平均降低22.85%,最大降低43%. With IC technology scaling into nanometer regime, power consumption has become an equal important design constraint as performance. Owing to the shortage of efficient transistor-level delay simulator, previous low-power techniques have to optimize circuits on the gate level. Thanks to the fine granularity, transistor-level low-power design methods can reduce more static power than gate-level counterparts. Thus it is far more important to develop the transistor-level low-power design methodology for nanometer chips marked with high static power. Based on the transistor-level simulator developed, an efficient transistor-level optimization methodology consisting of two-step algorithms is proposed to reduce more static power. The former gate-space algorithm uses the clustering strategy to cut down algorithm complexity. The latter transistor-space algorithm employs fine granularity to pursue reducing more power consumption. Experiments show the following advantages: 1. the proposed methodology is so general that it can analyze and optimize heterogeneous circuit in which each transistor may have its own different VT0, channel width W, and channel length L, and 2. In the transistor-level W + VT0 + L-sized optimization, the engine takes feasible running time (856.4s for C7552) to cut down 22.85% (average) and 43% (maximum) static power caused by gate-level optimum solution nearly without penalty of active power (average active power increases only 0.02 % ).
出处 《计算机研究与发展》 EI CSCD 北大核心 2008年第4期734-740,共7页 Journal of Computer Research and Development
基金 国家“八六三”高技术研究发展计划基金项目(2007AA01Z109,2006AA01Z223)
关键词 VLSI 纳米工艺 晶体管级 低功耗设计 算法 VLSI nanometer technology transistor level low power design algorithm
  • 相关文献

参考文献14

  • 1Device Group at UC Berkeley. International technology roadmap for semiconductors (ITRS) [OL]. http://public.itrs. net/, 2002
  • 2S Sirichoutiyakul, T Edwards, C H Oh. Stand-by power minimization through simultaneous threshold voltage selection and circuit sizing [C]. In: Proc of the 36th Design Automation Conf. New York:ACM Press, 1999. 436-441
  • 3T Karnik, S Borkar, V De. Sub-90nm technologies-Challenges and opportunities for CAD [C]. In: Proc of Int'l Conf on Computer Aided Design. Piscataway, NJ : IEEE Press, 2002. 203-207
  • 4D Nguyen, A Davare, M Orshansky. Minimization of dynamic and static power through joint assignment of threshold voltages and sizing optimization [C]. In: Proc of Int'l Symp on Low Power Electronics and Design. New York: ACM Press, 2003. 158-163
  • 5F Gao, J P Hayes. Total power reduction in CMOS circuits via gate sizing and multiple threshold voltages [C]. In: Proc of the 42th Design Automation Conf. New York: ACM Press, 2005. 31-36
  • 6L Q Wei, Z P Chen, K Roy. Mixed-vth(mvt) CMOS circuit design methodology for low power applications [ C]. In: Proc of the 36th Design Automation Conf. New York: ACM Press, 1999. 430-435
  • 7Z J Wo, I Koren. Effective analytical delay model for transistor sizing [C]. In: Proc of Asia and South Pacific Design Automation Conf. Piscataway, NJ: IEEE Press, 2005. 387- 392
  • 8徐勇军,骆祖莹,李晓维,李华伟.双阈值CMOS电路静态功耗优化[J].计算机辅助设计与图形学学报,2003,15(3):264-269. 被引量:8
  • 9A Srivastava, D Sylvester, D Blaauw. Statistical optimization of leakage power considering process variations using dual-vth and sizing [C]. In: Proc of the 41th Design Automation Conf. New York: ACM Press, 2004. 773-778
  • 10P Gupta, A B Kahng, P Sharma. Selective gate-length biasing for cost-effective runtime leakage control[C]. In: Proc of the 41th Design Automation Conf. New York: ACM Press, 2004. 327 330

二级参考文献31

  • 1A Chandrakasan, M Potkonjak, J Rabaey, et al. Low-power digital CMOS design[J], IEEE Journal of Solid State Circuits, 1992, 27(4): 472~484
  • 2Kaushik Roy, Sharat Prasad. Low Power CMOS VLSI Circuit Design [M]. New York: A Wiley Interscience Publication, 2000
  • 3Jan M Rabaey, Massoud Pedram. Low Power Design Methodologies [M]. Boston: Kluwer Academic Publishers, 1996
  • 4Anantha P Chandrakasan, Robert W Brodersen. Low Power Digital CMOS Design [M]. Boston: Kluwer Academic Publishers, 1995
  • 5Wolfgang Nebel, Jean Mermet. Low Power Design in Deep Submicron Electronics [M]. Boston: Kluwer Academic Publishers, 1997
  • 6Enric Musoll. High-level and logic synthesis techniques for low power [D]. Catalonia, Spain: Universitat Polit'tcnica de Catalunya, 1996
  • 7S-M Kang, Y Leblebici. CMOS Digital Integrated Circuits. New York: WCB/ McGraw- Hill, 1999
  • 8Jan M Rabaey. Digital Integrated Circuits [M]. New Jersey: Prentice-Hall, 1996
  • 9R X Gu, M I Elmasry. Power dissipation analysis and optimization of deep submicron CMOS digital circuits[J]. IEEE Journal on Solid State Circuits, 1996, 31(5): 887~893
  • 10A Khoche, E Brunvand. Critical hazard free test generation for asynchronous circuits[A]. In: Proceedings of the 15th IEEE VLSI Test Symposium (VTS'97), Monterey, California 1997. 203~208

共引文献10

同被引文献59

引证文献2

二级引证文献16

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部