期刊文献+

CMP体系结构上非包含高速缓存的设计及性能分析

Design and performance analysis of non-inclusive cache on CMP
下载PDF
导出
摘要 半导体技术的发展使得在芯片上集成数十亿个晶体管成为可能。目前工业界和学术界倾向于采用片上多处理器体系结构(CMP),对于此类结构,芯片性能受片外访存影响较大,因此如何组织片上高速缓存层次结构是一个关键。针对此问题,提出采用非包含高速缓存组织片上最后一级高速缓存,以降低片外访存次数。并通过对Splash2部分测试程序的详细模拟,对CMP上高速缓存层次结构的不同组织方式做了比较。数据显示非包含高速缓存最多可使平均访存时间降低8.3%。同时,指出非包含高速缓存有助于节省片上资源的特性,并给出片上集成三级高速缓存后CMP上高速缓存层次结构的设计建议。 As semiconductor technology develops, it is possible to pack billions of transistors on a single die. How to utilize such a large resources budget efficiently has been on-going for years. There is clear evidence of the trend that more and more commercial offerings and research projects address chip multiprocessors (CMP) design. Many design options on how to organize cache hierarchy before hitting memory wall to raise the performance, of CMP architecture are presented and non-inclusive cache is one of those. After evaluating several different organizations of cache hierarchy on CMP with partial Splash2 benchmarks, the result shows that non-inclusive cache can get benefits of 8.3% improvement in average data access time from reducing off-chip access. As non-inclusive cache can also save on-chip resources, it is proposed to improve CMP's performance by organizing the last line of defense of cache hierarchy with non-inclusive cache before going off-chip.
作者 冯昊 吴承勇
出处 《计算机工程与设计》 CSCD 北大核心 2008年第7期1595-1599,1611,共6页 Computer Engineering and Design
基金 国家973重点基础研究发展计划基金项目(2005CB321602)
关键词 高速缓存 非包含高速缓存 片上多处理器 高速缓存层次结构 工作集 cache non-inclusivecache CMP cache hierarchy working set
  • 相关文献

参考文献10

  • 1Luiz Andre Barroso,Kourosh Gharachorloo,Robert McNamara,et al.Piranha:"Scalable architecture based on single-chip multiprocessing"[C].ISCA-27.Washington:IEEE CS Press,2000:282-293.
  • 2Kalla R,SinharoyB,TendlerJM.IBM Power5 chip:Adual core multithreaded processor[C].IEEE Micro,Washington:IEEE CS Press,2004:40-47.
  • 3Zheng Y Davis BT,Jordan M.Performance evaluation of exclusive cache hierarchies[C].Proc IEEE International Symposium on Performance Analysis of Systems and Software.Washington:IEEE CS Press,2004:89-96.
  • 4Suleyman Sair,Mark Chamey.Memory behavior of the SPEC2000 benchmark suite[R].IBM T.J.Watson Research Center,2000.
  • 5Pablo Montesinos Ortego,Paul Sack.SESC:SuperESCalar simulator[R].http://iacoma.CS.uiuc.edu/-paulsack/sescdoc/,2004-12-20.
  • 6Evan Speight,Hazim Shafi,Lixin Zhang,et al.Adaptive mechanisms and policies for managing cache hierarchies in chip multiprocessors[C].Proceedings of the 32nd Annual International Symposium on Computer Architecture.Washington:IEEE CS Press,2005:346-356.
  • 7Zhang M,Asanovic K.Victim Replication:Maximizing capa-city while hiding wire delay in tiled chip multiprocessors[C].Proceedings of the 32nd Annual International Symposium on Computer Architecture.Washington:IEEE CS,2006:443-454.
  • 8Michael Zhang.Krste Asanovic.Victim Migration:Dynamically Adapting Between Private and Shared CMP Caches[R].MIT Computer Science and Artificial Intelligence Laboratory Teclmical Report,2005-10-10.
  • 9Lawrence Spracklen.Santosh G Abraham.Chip multithreading:Opportunities and challenges[C].HPCA-11.Washington:IEEE CS,2005:248-252.
  • 10Chun Liu,Anand Sivasubramaniam,Mahumt Kandemir.Organizing the last line of defense before hitting the memory wall for CMPs[C].HPCA-10.Washington:IEEE CS,2004:176.

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部