期刊文献+

低功耗、高性能多米诺电路电荷自补偿技术 被引量:2

Charge Self-Compensation Technology Research for Low Power and High Performance Domino Circuits
下载PDF
导出
摘要 提出了一种电荷自补偿技术来降低多米诺电路的功耗,并提高了电路的性能.采用电荷自补偿技术设计了具有不同下拉网络(PDN)和上拉网络(PUN)的多米诺电路,并分别基于65,45和32nmBSIM4SPICE模型进行了HSPICE仿真.仿真结果表明,电荷自补偿技术在降低电路功耗的同时,提高了电路的性能.与常规多米诺电路技术相比,采用电路自补偿技术的电路的功耗延迟积(PDP)的改进率可达42.37%.此外,以45nmZipperCMOS全加器为例重点介绍了功耗分布法,从而优化了自补偿路径,达到了功耗最小化的目的.最后,系统分析了补偿通路中晶体管宽长比,电路输入矢量等多方面因素对补偿通路的影响. A charge self-compensation technology is proposed in this paper to lower the active power and improve the performance of domino circuits. Domino circuits with different structures of pull-up network (PUN) and pull-down network (PND) are designed using charge self-compensation technology and are simulated based on 65,45, and 32nm BSIM4 SPICE models by the HSPICE tools. The simulation results show that this technology is effective for high performance and low power operation. The power-delay product (PDP) is reduced by up to 42.37% compared to standard domino circuits. Moreover,a novel method for power distribution is introduced. With this method,taking a Zipper CMOS full-adder in 45nm technology as an example,the paths for charge self-compensation is optimized to minimize the power. Finally,the influence of W/L of nMOS and pMOS in the path for charge self-compensation and input of the circuits on this technology is analyzed thoroughly.
出处 《Journal of Semiconductors》 EI CAS CSCD 北大核心 2008年第7期1412-1416,共5页 半导体学报(英文版)
关键词 自补偿电荷通路 功耗延迟积 ZIPPER CMOS全加器 多米诺电路 path for charge self-compensation power-delay product (PDP) Zipper CMOS full-adder domino circuits
  • 相关文献

参考文献13

  • 1Chatterjee B, Sachdev M, Krishnnamurthy R. Designing leakage tolerant, low power wide-OR dominos for sub-130nm CMOS technologies. Microelectronics Journal, 2005,36 (6) : 801.
  • 2Ronen R, Mendelson A, Lai K, et al. Coming challenges in microarchitecture and architecture. Proceedings of the IEEE, 2001 : 325.
  • 3Bohr M T. Nanotechnology goals and challenges for electronic applications. IEEE Trans Nanotechnol, 2002 : 56.
  • 4Mader R, Kourtev I. Reduced dynamic swing domino logic. Proceedings of the ACM/SIGDA Great Lakes Symposium on VLSI, 2003,33.
  • 5Shieh S J, Wang J S. Design of low-power domino circuits using multiple supply voltages. The 8th IEEE International Conference on Electronics,Circuits and Systems,2001,711.
  • 6Chin P, Zukowski C A, Gristede G D, et al. Characterization of logic circuit techniques and optimization for high-leakage CMOS technologies. The VLSI Journal,2005,38(3) :491.
  • 7Wang Jinhui, Gong Na, Hou Ligang,et al. Low power wide dominos design in sub-65nm CMOS technologies. Proceedings of 8th International Conference on Solid-State and Integrated Circuit Technology,2006 : 1864.
  • 8汪金辉,宫娜,冯守博,段丽莹,侯立刚,吴武臣,董利民.亚65nm工艺新型p结构多米诺与门设计[J].Journal of Semiconductors,2007,28(11):1818-1823. 被引量:3
  • 9Kuroda T, Fujita T, Mita S,et al. A 0.9V 150MHz 10mW 4mm^2 2- D discrete cosine transform core processor with variable-threshold-voltage scheme. Proceedings of 43rd ISSCC, 1996 : 1770.
  • 10Lee C M,Szeto E W. Zipper CMOS. IEEE Circuits Devices Mag, 1986:10.

二级参考文献18

  • 1郭宝增,宫娜,汪金辉.亚70nm CMOS工艺低漏电流、高噪声容限的低功耗多输入多米诺或门的设计(英文)[J].Journal of Semiconductors,2006,27(5):804-811. 被引量:2
  • 2Chatterjee B,Sachdev M,Krishnnamurthy R.Designing leakage tolerant,low power wide-OR Dominos for sub130nm CMOS technologies.Microelectronics Journal,2005,36(6):801.
  • 3Wang Ling,Wen Dongxin,Yang Xiaozong,et al.Synthesis scheme for low power designs under time constraints.Chinese Journal of Semiconductors,2005,26(2):287.
  • 4Sun Hui,Li Wenhong,Zhang Qianling.A low-power superperformance four-way set-associative CMOS cache memory.Chinese Journal of Semiconductors,2004,25(4):366.
  • 5Jack Horgan.Low power SOC design.May,2004 http:∥www10.edacafe.com/nbc/articles/view_weekly.php?newsletter=1&run_date=24-May-2004.
  • 6Abdollahi A,Fallah F,Pedram M.Leakage current reduction in CMOS VLSI circuits by input vector control.IEEE Trans Very Large Scale Integr(VLSI)Syst,2004,12(2):140.
  • 7Khandelwal V,Srivastava A.Leakage control through finegrained placement and sizing of sleep transistors.Proceedings of IEEE/ACM International Conference on Computer Aided Design,2004:533.
  • 8Kuroda T,Fujita T,Mita S,et al.A 0.9V 150MHz 10mW 4mm2 2-D discrete cosine transform core processor with variable-threshold-voltage scheme.Digest of Technical Papers.Proceedings of 43rd ISSCC,1996:1770.
  • 9Lee D,Kwong W,Blaauw D,et al.Analysis and minimization techniques for total leakage considering gate oxide leakage.Proceedings of ACM/IEEE Design Automation Conference,2003:175.
  • 10Hamzaoglu F,Stan M R.Circuit-level techniques to control gate leakage for sub-100nm CMOS.Proc Int Symp on Low Power Electronics and Design,2002:60.

共引文献2

同被引文献21

  • 1Shu Y H, Tenqchen S, Sun M C,et al. XNOR-based double-edgetriggered flip-flop for two-phase pipelines. IEEE Trans Circuits Syst,2006,53(2) : 138
  • 2Shams A M, Bayoumi M A. A novel low-power building block CMOS cell for adders. Proceedings of the 1998 1EEE Internation- al Symposium on Circuits and Systems, 1998:153
  • 3Bohr M T. Nanotechnology goals and challenges for electronic applications. IEEE Trans Nanotechnol, 2002,1 (1): 56
  • 4Guo B Z, Gong N, Wang J H. Designing leakage-tolerant and noise-immune enhanced low power wide or dominos in sub-70nm CMOS teclinologies. Chinese Journal of Semiconductors, 2006,5 (5) :804
  • 5Allan A,Edenfeld D,Joyner W H, et al. 2001 technology roadmap for semiconductors. Computer, 2002,35 (1) :42
  • 6Abdollahi A, Fallah F, Pedram M. Leakage current reduction in CMOS VLSI circuits by input vector control. IEEE Trans Very Large Scale Integr Syst,2004,12(2) :140
  • 7Chin P, Zukowski C A, Gristede G D, et al. Characterization of logic circuit techniques and optimization for high-leakage CMOS technologies. The VLSI Journal, 2005,38 (3) : 491
  • 8Yeo Y C,Lu Q,Lee C W,et al. Direct tunneling gate leakage current in transistors with ultrathin silicon nitride gate dielectric. IEEE Electron Device Lett, 2000,21 : 540
  • 9Kao J T, Chandrakasan A P. Dual-threshold voltage techniques for low-power digital circuits. IEEE J Solid-State Circuits, 2000, 35(7) :1009
  • 10Khandelwal V, Srivastava A. Leakage control through finegrained placement and sizing of sleep transistors. IEEE Trans Comput-Aided Design Integr Circuits Syst, 2004,28 (7): 1246

引证文献2

二级引证文献2

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部