期刊文献+

基于VHDL实现8086 IP核的设计

A Design of the 8086 IP Core Based on VHDL
下载PDF
导出
摘要 本文介绍了8086的内部结构和工作原理,其中包括执行单元、总线接口单元、算术逻辑单元、寄存器组和地址加法器。使用VHDL实现了IP核的设计,并使用Modelsim进行了仿真,仿真结果表明IP核可以正常工作。 This article introduces the inner structure of 8086 and the working principle. It includes the EU(Executing Unit), BIU(Bus Interface Unit), ALU (Arithmetic Logical Unit), the an-ay of registers and address adder. Implemented the 8086 IP core with VHDL, and simulated the design with Moddsim. The simulation results show that the IP core works normally.
作者 吴俊达 吴秀龙 WU Jun-da, WU Xiu-long (Institute of Electronic Science and Technology, Anhui University, Hefei 230039, China)
出处 《电脑知识与技术》 2008年第11期969-970,1015,共3页 Computer Knowledge and Technology
关键词 中央处理器 算数逻辑单元 VHDL CPU ALU VHDL
  • 相关文献

参考文献3

二级参考文献4

共引文献12

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部