期刊文献+

基于FPGA的超声相控阵波束合成技术 被引量:2

Digital Beam Forming for Ultrasonic Phased Array Based on FPGA
下载PDF
导出
摘要 数字波束合成技术是相控阵超声检测相对于其它超声检测所特有的,也是整个信号接收处理系统的核心技术。通过设计基于可编程现场门阵列(FPGA)的各个模块,充分利用FPGA的优点,实现了精确延时和快速加权求和。从而可以对回波信号在不同方向和深度进行动态聚焦,很好地实现了波束的数字合成。 Digital beam forming (DBF) is special for phased array ultrasonic transducer compared to other ultrasonic nondestructive testing and is also the kernel technology of the whole signal-receiving system. By the merits of field programmable gate array( FPGA), exact time-delay and fast adding of signals multiplied by weighting factors were achieved through modules based FPGA. Dynamic focusing of received signals in different directions and depths was also achieved. Good DBF was realized.
出处 《无损检测》 北大核心 2008年第11期813-815,819,共4页 Nondestructive Testing
关键词 超声相控阵技术 数字波束合成 可编程现场门阵列 Ultrasonic phased array Digital beam forming Field programmable gate array
  • 相关文献

参考文献2

共引文献7

同被引文献4

引证文献2

二级引证文献1

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部