期刊文献+

高可靠8051微处理器的设计与实现 被引量:2

Design and Implementation of a High-Reliability 8051 Microprocessor
下载PDF
导出
摘要 本文介绍了一款高可靠8051(HR8051)的设计与实现。在一款现有高性能8051微处理器体系结构的基础上,针对单粒子翻转事件(SEU),应用各种可靠性增强技术,如时空三模冗余(ST-TMR)、控制流检测、安全状态机等,并对可靠性增强后的处理器进行故障注入,以验证其可靠性增强效果。故障注入结果表明,采用了可靠性增强技术后,处理器的可靠性有了很大的提高。 This paper describes the design and implementation of a high-reliability 8051 microprocessor (HR8051). Based on the existing high-performance 8051 microprocessor architecture, the HR8051 microprocessor has been hardened by several reliability-improving technologies, e.g. space-time triple modular redundancy(ST-TMR) ,control flow checking,safe state machine, and so on, to mask the SEU(single event upset)event. In order to check how much reliability the hardened microprocessor can achieve, fault injection is applied. The final results indicate that the reliability of the HR8051 micropro- cessor is improved significantly.
出处 《计算机工程与科学》 CSCD 北大核心 2009年第1期117-120,共4页 Computer Engineering & Science
基金 国家自然科学基金资助项目(60603062) 湖南省自然科学基金资助项目(06jj30035)
关键词 高可靠微处理器 时空三模冗余 可靠性增强技术 故障注入 high-reliability microprocessor space-time TMR reliability-improving technologies fault injection
  • 相关文献

参考文献7

  • 1陈微,戴葵,刘芳.可靠性微处理器设计关键技术研究[J].华中科技大学学报(自然科学版),2005,33(z1):111-113. 被引量:3
  • 2Peterson W. Error-Correcting Codes[M]. 2nd ed. Cambridge :The MIT Press, 1980.
  • 3贺朝会,杨海亮,耿斌,陈晓华,李国政,刘恩科,罗晋生.静态随机存取存储器质子单粒子效应实验研究[J].核电子学与探测技术,2000,20(4):253-257. 被引量:12
  • 4王新梅,肖国镇.纠错码:原理与方法[J].西安:西安电子科技大学出版社,2002.
  • 5Jonson B W. Design and Analysis of Fault Tolerant Digital Systems[M] . Addison Wesley Publishing Company, 1989.
  • 6Mahmood A, McCluskey E J. Concurrent Error Detection Using Watchdog Processors-A Survey [J]. IEEE Trans on Computers, 1988,37(2): 160-174.
  • 7Galla T M, Sprachmann M, Steininger A, et al. Control Flow Monitoring for a Time-Triggered Communication Con- troller[C]//Proc of the 10th European Workshop on Dependable Computing, 1999 : 43-48.

二级参考文献12

  • 1[1]Petersen EL. Approaches to proton single-event rate calcul ations[J]. IEEE Trans Nucl Sci, 1966,NS43:496.
  • 2[2]Barak J,et al. A simple model for proton induced SEU[J].IEEE Tra ns Nucl Sci, 1996,NS43:979.
  • 3[3]Duzellier S, et al. Low energy proton induced SEE in memories[J] , IEEE Tr+ans Nucl Sci, 1997, NS44:2306.
  • 4[4]Takami Y, et al. Investigation of single event upset subject to protons of intermediate energy range[J]. IEEE Trans Nucl Sci, 1990, NS37:195 3.[5]Sorensen RH, et al. Obervation and prediction of SEU inhitachi SRAM s in low altitude polar orbits[J].IEEE Trans Nucl Sci, 1993,NS40:1498.
  • 5[6]Poivey C,et al. Characterization of single hard errors(SHE) in 1M b it SRAMs from single ion[J]. IEEE Trans Nucl Sci, 1994, NS41:2235.
  • 6[7]Jacunski L, et al. SEU immunity: The effects of scaling on the per ipheral circuits of SRAMs[J]. IEEE Trans Nucl Sci,1994,NS41:2272.
  • 7[8]Chumakov AI, et al. Radiation and postirradiation functional ups ets in CMOS SRAM[J]. IEEE Trans Nucl Sci, 1996, NS43:3109.
  • 8[9]McDonald PT, et al. Non-random single event upset trends[J]. I EEE Trans Nucl Sci, 1989, NS36:2324.
  • 9[10]Shapiro P, et al. Protron induced single event upsets i n NMOS microprocessors[J].IEEE Trans Nucl Sci, 1982, NS29:2072
  • 10[1]Benso A,Carlo S D,Natale G D,et al.Control-flow checking via regular expressions [J].IEEE Asian Test Symposium (ATS 2001),2001,1:299-303

共引文献13

同被引文献23

  • 1刘丹丹,王晓峰.验证BIT测试性指标的总线级故障注入系统及其设计[J].航天器环境工程,2008,25(5):479-484. 被引量:6
  • 2彭俊杰,黄庆成,洪炳熔,李瑞,袁成军.一种用于星载系统可靠性评测的软件故障注入工具[J].宇航学报,2005,26(6):823-827. 被引量:6
  • 3仉俊峰,洪炳镕,乔永强.基于软件方法故障注入系统[J].哈尔滨工业大学学报,2006,38(6):873-876. 被引量:14
  • 4黄海林,唐志敏,许彤.龙芯1号处理器的故障注入方法与软错误敏感性分析[J].计算机研究与发展,2006,43(10):1820-1827. 被引量:31
  • 5Mukherjee S S, Emer J S, Reinhardt S K. The Soft Error Problem: An Architectural Perspective[C]//Proc of HPCA- 11, 2005:243-247.
  • 6Shivakumar P, Kistler M, Keckler S W, et al. Modeling the Effect of Technology Trends on the Soft Error Rate of Combinational Logic[C]//Proc of DSN'02, 2002 : 389-398.
  • 7Mukherjee S. Architecture Design for Soft Errors[M]. Burlington:Morgan Kaufmann Publishers, 2008.
  • 8Lee K, Shrivastava A, Issenin I, et al. Partially Protected Caches to Reduce Failures due to Soft Errors in Mission-Critical Multimedia Systems[R]. Technical Report TR-08-06, Center for Embedded Computer Systems, University of California, 2008.
  • 9Li X, Adve S V, Bose P, et al. SoftArch: An Architecture-Level Tool for Modeling and Analyzing Soft Errors [C]// Proc of DSN'05, 2005:496-805.
  • 10Mukherjee S S, Weaver C, Emer J S, et al. A Systematic Methodology to Compute the Architectural Vulnerability Factors for a High Performance Microprocessor[C]//Proc of Micro-36, 2003 ;29-42.

引证文献2

二级引证文献5

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部