期刊文献+

阵列乘法器通路时延故障的内建自测试 被引量:2

Built-in Self-Test Scheme for Path Delay Fault of Array Multiplier
下载PDF
导出
摘要 阵列乘法器因高度集成和高速运行,容易受到时延故障的困扰。该文对阵列乘法器的通路时延故障提出了一种用累加器实现的以单跳变序列作为测试序列的内建自测试方案。已有的理论和实践表明采用单跳变测试序列比多跳变序列具有更高的测试鲁棒性。同时,该文的测试方案在测试通路覆盖率和测试向量数之间做到了兼顾。仿真结果表明这种单跳变测试序列具有高测试通路覆盖率。此外,测试生成通过系统已有累加器的复用可节省硬件成本开销。 Due to high integration and high speed operation, array multiplier much likely suffers from delay fault. In this paper, a Built-In Self-Test (BIST) scheme is presented for the delay fault test of such array multiplier in which an accumulator is utilized as test pattern generator. Based on the transition propagation analysis of the basic unit of full adder, a kind of single input change BIST sequences is generated which has been designated to be more effective than multiple input change sequences when highly robust delay fault coverage is targeted in a series of previous theoretical and experimental results. The proposed scheme is well balanced between the path coverage and the number of test patterns. Simulation results demonstrate the proposed scheme can get high path coverage. Furthermore, the reuse of existing accumulator to generate test patterns can lead to low hardware overhead.
出处 《电子与信息学报》 EI CSCD 北大核心 2009年第1期238-241,共4页 Journal of Electronics & Information Technology
基金 国家自然科学基金(90407007)资助课题
关键词 阵列乘法器 内建自测试 时延故障测试 通路时延故障 单跳变序列 Array multiplier Built-In Self-Test (BIST) Delay fault test Path delay fault Single input change sequences
  • 相关文献

参考文献8

  • 1杨德才,谢永乐,陈光.VLSI流水化格型数字滤波器的内建自测试[J].电子学报,2007,35(11):2184-2188. 被引量:2
  • 2Paschalis A, Gizopoulos D, and Kranitis N. An effective BIST architecture for fast multiplier cores. Proc. of Design, Automation and Test in Europe Conference, Munich, 1999: 117-121.
  • 3Gizopoulos D, Paschalis A, and Zorian Y. An effective built-in self-test scheme for parallel multipliers. IEEE Trans. on Computers, 1999, 48(9): 936-950.
  • 4Psarakis M, Gizopoulos D, and Paschalis A, et al. Robust and low-cost BIST architectures for sequential fault testing in datapath multipliers. IEEE VLSI Test Symposium, Los Angles, 2001: 15-20.
  • 5Pomeranz I and Reddy S M. Effectiveness of scan-based delay fault tests in diagnosis of transition faults. IET Computers & Digital Techniques, 2007, 1(5): 537-545.
  • 6Konuk H. On invalidation mechanisms for nonrobust delay tests. International Test Conference, Atlantic, 2000: 393-399.
  • 7Hansen M, Yalcin H, and Hayes J. Unveiling the ISCAS-85 benchmarks: a case study in reverse engineering. IEEE Design and Test of Computers, 1999, 16(3): 72-80.
  • 8Pomeranz I and Reddy S M. An efficient nonenumerative method to estimate the path delay fault coverage in combinational circuits. IEEE Trans. on CAD, 1994, 13(2): 240-250.

二级参考文献8

  • 1Parhi K K. VLSI Digital Signal Processing Systems:Design and Implementation[ M]. New York: John Wiley & Sons, 1999.
  • 2Chatterjee A, Roy R K. Design for diagnosability of linear digital filters using time-space expansion[ A] .Proceedings of VLSI Test Symposium[ C]. Cherry Hill,New Jersey, 1994.48-53.
  • 3Counil C, Cambon G. A functional BIST approach for FIR digital filters[ A] .Proceedings of VLSI Test Symposium[ C].Atlantic City,New Jersey, 1992.90-95.
  • 4Bayraktaroglu I. Orailoglu A. Low-cost on-line test for digital filters[A]. Precedings of VLSI Test Symposium[C]. Dana Point, California, 1999.446-451.
  • 5Bayraktaroglu I. Orailoglu A. Cost effective digital filter design for concurrent test [ A]. IEEE International Conference on Acoustics, Speech, and Signal Processing [ C ]. Istanbul, Turkey, 2000.3323-3326.
  • 6Mukherjee N. Rajski J. Tyszer J. Parameterizable testing scheme for FIR filters [ A ]. Proceedings of International Test Conference[ C] .Washington DC, 1997.694-703.
  • 7Cheng K T. Agrawal V. A partial scan method for sequential circuits with feedback[J]. IEEE Trans Computers, 1990,39 (4) :544-548.
  • 8Bakalis D, Nikolos D, Kavousianos X. Test response compaction by an cccumulator behaving as a multiple input nonlinear feedback shift register[ A]. International Test Conference [ C] .Atlantic City,New Jersey,2000. 804-811.

共引文献1

同被引文献10

  • 1梁明,陈涛.对弹载计算机进行单元测试的方法研究[J].战术导弹技术,2008(3):85-88. 被引量:3
  • 2Yuan J. Tachibana M. A BIST Scheme based on Resistance Match for Current- Mode R- 2R Ladder Digital - to- Analog Converter [A]. Proceedings of 3rd International Conference on Computer Research and Development [C]. Shanghai, 2011: 305 - 309.
  • 3Youbean KIM Kieheol KIM Ineheol KIM Sungho KANG. A New Built-in Self Test Scheme for Phase-Loeked Loops Using Internal Digital Signals [J]. IEICE TRANSACTIONS on Electronics, 2008, Egl-C (10): 1713-1716.
  • 4Dariusz Zaleski, Bogdan Bartosinski. New Applications of Shape Designed Complementary Signals For Testing of Analog Sections In Electronic Embedded Systems [A]. Proceedings of 12th IMEKO TC1 & TC7 Joint Symposium on Man Science & Measurement [C]. Annecy , 2008: 313-320.
  • 5Feng C J, Li X F. Application of Rectangular Pulse in Measurement of Analog Circuit's Bandwidth [A]. The First International Confer- ence on Instrumentation & Measurement, Computer, Communication and Control [C]. Guangzhou, 2011.
  • 6董纬炜.采用距离分类法的伪随机电流注入模拟电路测试[D].长沙:湖南大学,2006.
  • 7Negreiros M, Carro L, Susin A A. Testing analog circuits using spectral analysis [J]. Microelectronics Journal, 2003, 34: 937- 944.
  • 8SunilR. Das, Jila Zakizadeh, Mansour H. Testing Analog and Mixed-Signal Circuits With Built- In Hardware A New Ap- proach [J]. IEEE Transactions on Instrumentation and Measurement, 2007, 56 (3): 840-855.
  • 9冯长江,李晓峰.模拟电路边界扫描功能性测试模型研究[J].计算机测量与控制,2011,19(10):2337-2339. 被引量:3
  • 10谢涛,何怡刚,姚建刚.基于高阶累积量和改进BP神经网络的模拟电路故障诊断[J].电路与系统学报,2012,17(4):18-22. 被引量:4

引证文献2

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部