期刊文献+

基于加权路径的指令调度算法

An Instruction Scheduling Algorithm Based on Weighted Paths
下载PDF
导出
摘要 随着线延迟的逐渐增加,指令调度技术作为一种可以有效减少处理器片上通信的技术日益重要。本文介绍一种分片式处理器结构上基于加权路径的指令调度算法,该算法利用已经放置好的指令——锚指令信息精确计算路径长度,再用指令所在路径长度作为权值对指令进行调度。实验结果表明,本算法实现的调度器IPC比已有的两种TRIPS调度算法的IPC分别提高了21%和3%。 Growing on-chip wire delay makes instruction scheduhng a more important compner technique to decrease on-chip communication. This paper describes a compiler scheduling algorithm called weighted path scheduling, which uses the path length as the weight when scheduling instructions. To precisely calculate the weight of the path, we make use of previously scheduled instructions-anchor instructions. Our experimental results show that this algorithm achieves a 21% and 3% average performance improvement over two prior scheduling algorithms of TRIPS.
出处 《计算机工程与科学》 CSCD 北大核心 2009年第11期9-12,16,共5页 Computer Engineering & Science
基金 国家自然科学基金资助项目(60633040 60736012) 国家973计划资助项目(2005CB321601) 国家863计划资助项目(2006AA01A102 2009AA01Z106) 教育部-英特尔信息技术专项科研基金资助项目(MOE-INTEL-08-07)
关键词 指令调度 加权路径 锚指令 instruction scheduling weighted path anchor instruction
  • 相关文献

参考文献13

  • 1Burger D, Keckler S W, McKinley K S, et al. Scaling to the End of Silicon with EDGE Architectures[J]. IEEE Computer, 2004,37(7) :44-55.
  • 2Nagarajan R, Burger D, McKinley K S, et al. Instruction Scheduling for Emerging Communication-Exposed Architectures[C]//Proc of Int'l Conf on Parallel Architectures and Compilation Techniques, 2004:74-84.
  • 3Coons K, Chen X, Kushwaha S K, et al. A Spatial Path Scheduling Algorithm for EDGE Architeetures[C]//Proc of the 12th Int'l Conf on Architectural Support for Programming Languages and Operating Systems, 2006:129-140.
  • 4Gibert E, Sanchez J, Gonzalez A. Effective Instruction Scheduling Techniques for an Interleaved Cache Clustered VLIW Processor[C]//Proc of the 35th Annual ACM/IEEE Int'l Symp on Microarchitecture, 2002:123-133.
  • 5Smith A, Burrill J, Gibson J,et al. Compiling for EDGE Architectures[C]//Proc of Int'l Symp on Code Generation and Optimization, 2006:11.
  • 6Mercaldi M, Swanson S, Peterson A, et al. Modeling Instruction Placement on a Spatial Architecture[C]//Proc of the Symp on Parallel Architectures and Applications, 2006: 158-169.
  • 7Nagarajan R, Chen X, McDonald R G,et al. Critical Path Analysis of the TRIPS Architecture[C]//Proc of IEEE Int'l Symp on Performance Analysis of Systems and Software, 2006:37-47.
  • 8Ozer E, Banerjia S, Conte T M. Unified Assign and Schedule: A New Approach to Scheduling for Clustered Register File Microarchitectures[C]//Proc of Int'l Symp on Microarchitecture, 1998: 308-315.
  • 9Arvind K, Nikhil R S. Executing a Program on the MIT Taggedtoken Dataflow Architecture [J]. IEEE Trans on Computers, 1990,39(3) :300-318.
  • 10Fields B, Rubin S, Bodik R. Focusing Processor Policies via Critical-path Prediction[C]//Proc of the 28th Annual Int'l Syrup on Computer Architecture, 2001:74-85.

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部