期刊文献+

功率门控技术中的分簇算法和控制电路

Clustering Algorithm and Controller in Power Gating
下载PDF
导出
摘要 在当前的CMOS集成电路设计中,利用功率门控技术来降低静态功耗已经成为一种趋势。功率门控技术中,对电路进行分簇的算法和用来生成门控信号的控制电路是主要的设计部分。采用基于门的最大电流进行分簇的BOIG(Based on IMAXof Gate)算法和基于时间的功率门控控制电路,对ISCAS85系列的C432电路和ISCAS89系列的S1238电路进行了功率门控,并在性能、功耗和面积等方面进行了分析。结果表明,在满足性能的要求下,功耗降低了80%以上,面积有所增加。 Using power gating technique to reduce leakage power dissipation is a trend in integrated circuit design currently.The algorithm which partitions the circuit into clusters and the controller used to generate gating signal are main design concern in power gating technique.This paper presented an clustering algorithm based on IMAX of gate and a power gating controller based on time,then power gated the C432 in ISCAS85 and S1238 in ISCAS89.The paper also analyzed the performance,power dissipation and area, the results show that under the performance constraint the power dissipation is reduced by more than 80%, and the area increases a certain amount.
出处 《微处理机》 2009年第5期31-34,38,共5页 Microprocessors
关键词 功率门控技术 BOIG算法 控制电路 Power Gating Technique BOIG Algorithm Controller
  • 相关文献

参考文献7

  • 1石乔林,李天阳,田海燕.深亚微米集成电路静态功耗的优化[J].微计算机信息,2005,21(12Z):138-141. 被引量:6
  • 2F Sill, F Grassert, D Timmermann. Low Power Gate -level Design with Mixed - Vth (MVT) Techniques[ A ]. SBCCI[ C]. Brazil 2004:278 -285.
  • 3M Anis, S Arcibi, M Elmasry. Dynamic and Leakage Power Reduction in MTCMOS Circuits Using An Automated Efficient Gate Clustering Technique [ A ]. The 39th DAC [ C ]. New Orleans, USA ,2002:480 -485.
  • 4D. -S. Chiou,D. -C. Juan,Y. -T. Chen,S. -C. Chang. Fine - Grained Sleep Transistor Sizing Algorithm for Leakage Power Minimization [ A ]. DAC [ C ].San Diego, USA,2007:81 - 86.
  • 5A Abdollahi, F Fallah, M Pedram. A Robust Power Gating Structure and Power Mode Transition Strategy for MTC- MOS Design[ J]. IEEE Trans. VLSI Syst. ,2007,15:80 - 89.
  • 6C Long, L He. Distributed Sleep Transistor Network for Power Reduction [ A ]. Prec. of the 40th DAC [ C ]. Anaheim, USA ,2003 : 181 - 186.
  • 7Z. - G. Hu, A Buyuktosunoglu, V Srinivasan, et al. Microarchitectural Techniques for Power Gating of Execution Units[ A ]. 1SLPED[ C ]. Newport Beach,USA, 2004 : 32 - 37.

二级参考文献13

  • 1J P Hatler,F Najm.A gate-level leakage power reduction method for ultra-low power CMOS circuits[C].Proc IEEE CICC 1997,pp:475-478
  • 2Anantha Chandrakasan,Willian H Bowhill,Frank Fox.Design of highperformance microprocessor circuits[C].IEEE Press,2000,pp:57-58
  • 3R X Gu,M I Elmasry.Power dissipation analysis and optimization of deep sub-micron CMOS digital circuits [J].IEEE Journal on Solid State Circuits,1996,31(5):887-893
  • 4James K Kao.Subthreshold leakage control techniques for low power digital circuits[D].Massachusetts Institute of Technology,2001
  • 5Wang Wen-xin.Low-power multi-threshold CMOS circuits optimization and CAD tool design[D].University of Guelph,2004
  • 6Afshin Abdollahi et al.Leakage current reduction in CMOS VLSI circuits by input vector control[J].IEEE Trans on VLSI systems.February2004,12(2):140-153
  • 7A Abdollahi,F Falla,M Pedram.Runtime mechanisms for leakage current reduction in CMOS VLSI circuits [C].Proc Symp on low power electronics and design.Aug 2002,pp:213-218
  • 8Qi Wang,B Sarma,K Vrudhula.Algorithms for minimizing standby power in deep sub-micrometer,dual-Vt CMOS circuits[J].IEEE Trans on CAD of IC and System,2002,21(3):306-318
  • 9M Anis,S areibi,M Mahmoud et al.Dynamic and leakage power reduction in MTCMOS circuits using an automated efficient gate clustering technique[C].The 39th DAC,New Orleans,2002
  • 10T Kobayashi,T Sakurai.Self adjusting threshold voltage scheme for low voltage high speed operation [C].IEEE 1994 Custom integrated Circuit Conference,San Diego,1994

共引文献5

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部