期刊文献+

考虑测试功耗的扫描链划分新方法 被引量:1

Scan Chain Partition New Approach Considering Test Power Reduction
下载PDF
导出
摘要 提出考虑测试功耗的扫描链划分新方法.首先为基于扫描设计电路的峰值测试功耗和平均功耗建模,得出测试功耗主要由内部节点的翻转引起的结论,因此考虑多条扫描链情况,从输入测试集中寻找相容测试单元,利用扫描单元的兼容性,并考虑布局信息,将其分配到不同的扫描链中共享测试输入向量,多扫描链的划分应用图论方法.在ISCAS89平台上的实验结果表明,有效降低了峰值测试功耗和平均测试功耗. A novel scan chain partition approach considering test power is presented. The peak test power and average test power of scan - based design circuits is modeled firstly, then the conclusion of test power are produced by the switching activity is obtained, considering the situation of multi - chain, to search the compatible cell from the input test set and make use of the compatibility of the scan cells, considering the layout information, distribute the scan cells to different scan chains, the multi - chain partition approach is based on Graph theory. The experiments on ISCAS89 benchmark show our approach's efficiency. The average test power and peak test power are reduced dramatically.
出处 《微电子学与计算机》 CSCD 北大核心 2010年第1期144-146,150,共4页 Microelectronics & Computer
基金 国家自然科学基金项目(60273081 69973014) 哈尔滨工程大学基础研究基金(04088)
关键词 基于扫描设计 扫描链 测试功耗 兼容扫描单元 scan based design scan chain test power oompatible scan ceil
  • 相关文献

参考文献8

  • 1徐磊,孙义和,陈弘毅.基于扫描的低测试功耗结构设计[J].计算机研究与发展,2001,38(12):1423-1428. 被引量:4
  • 2向东,李开伟.低成本的两级扫描测试结构[J].计算机学报,2006,29(5):786-791. 被引量:5
  • 3王伟,韩银和,胡瑜,李晓维,张佑生.一种有效的低功耗扫描测试结构——PowerCut[J].计算机研究与发展,2007,44(3):473-478. 被引量:10
  • 4Bonhomme Y, Girard P, Guiller L, et al. A gated clock scheme for low power scan testing of logic ICs or embedded cores[ C]//Proceedings of IEEE Asian Test Symposium. Japan, Kyoto, 2001 : 253 - 258P.
  • 5Quasem M S, Gupta S. Designing multiple scan chains for systems - on - chip [ C ] Test Symposium, ATS 2003. Asian, 2003:424 - 427.
  • 6Geewhun Seok, Il -Soo Lee, Ambler T. An efficient scan chain partitioning scheme with reduction of test data under muting constraint[C]//Defect and Fault Tolerance in VLSI Systems, DFT '06. USA: Washington DC:145- 156.
  • 7Ghosh D, Bhunia S, Roy K. Multiple scan chain design technique for power reduction during test application in BIST[ C]//Defect and Fault Tolerance in VLSI Systems, Proceedings 18th IEEE International Symposium. USA: West Lafayette, 2003 : 191 - 198.
  • 8Sying Jyan Wang, Shih Cheng Chen, Katherine Shu min Li. Design and analysis of skewed - distribution scan chain partition for improved test data compression[ C] // Circuits and Systems. Taiwen, taiehung: Chung-Hsing University, ISCAS IEEE International, 2008: 2641- 2644.

二级参考文献32

  • 1朱宁.CMOS数字电路低功耗设计方法研究:博士论文[M].北京:清华大学,1999..
  • 2徐磊 孙义和 等.基于扫描的低功耗可测设计方法研究.2000年全国测试学术会议论文集[M].北京,2000.1-5.
  • 3徐磊,2000年全国测试学术会议论文集,2000年,1页
  • 4朱宁,博士论文,1999年
  • 5Deng A C,Proc IEEE Int Symp Circuits System,1989年,881页
  • 6Bayraktaroglu I,Orailoglu A..Concurrent application of compaction and compression for test time and data volume reduction in scan designs.IEEE Transactions on Computers,2003,22(8):1480~1489
  • 7Pandey A.R,Patel J.H..Reconfiguration technique for reducing test time and test data volume in Illinois scan architecture based designs.In:Proceedings of the VLSI Test Symposium,Monterey,California,2002,9~15
  • 8Xiang D,Gu S,Sun J.G,Wu Y.L..A cost-effective scan architecture for scan testing with nonscan test power and test application cost.In:Proceedings of the ACM/IEEE Design Automation Conference,Anaheim,CA,2003,744~747
  • 9Xiang D,Xu Y,Fujiwara H..Non-scan design for testability for synchronous sequential circuits based on conflict resolution.IEEE Transactions on Computers,2003,52(8):1063~1075
  • 10Bonhomme Y,Girard P,Landrault C,Pravossoudovitch S..Power driven chaining of flip-flops in scan architectures.In:Proceedings of the IEEE International Test Conference,Washington,D.C,2002,796~803

共引文献12

同被引文献12

引证文献1

二级引证文献4

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部