期刊文献+

基于支持向量机的微体系结构设计空间探索(英文) 被引量:3

Microarchitectural Design Space Exploration via Support Vector Machine
下载PDF
导出
摘要 通过对微处理器设计空间中有限的设计方案进行模拟,建立支持向量回归模型,对未经模拟的设计进行性能和功耗的预测,从而大大减少了评估整个设计空间的所需时间。通过模型预测得到的最优设计方案和通过模拟得到的最优设计方案很接近,提供了对巨大设计空间进行裁减的方法。将设计空间中0.26%的设计方案作为训练数据,得到的支持向量回归模型对性能和功耗的平均预测错误率分别为0.52%和1.08%,均优于已有的回归模型。相关分析数据显示预测结果和详细模拟结果高度相关,性能和功耗的平均平方相关系数分别为0.728和0.703,这表明支持向量回归模型能捕获各微体系设计参数之间的复杂交互。该模型还为每个预测结果指出了置信区间。 The authors propose an approach to reduce the number of required simulations, simulate on sampled design points, and use it to construct informative and predictive support vector regression models. Having captured the interacting effects of design parameters, the models predict outputs for design points that are not simulated. The prediction time of model can be negligible compared with detailed simulation. The optimal design point determined by prediction is very close to that of simulation for most applications and provides an efficient way to cull huge design space. Trained on only 0.26% design points, the models yield mean relative prediction error as low as 0.52 % for performance and 1.08 % for power. Correlation analysis demonstrates that prediction output is highly correlated with simulated observation. The average squared correlation coefficient is 0. 728 for performance models while 0. 703 for power models, which implies that support vector regressions capture most of relationships among design parameters. The model also provides a predictive probability interval for each prediction, which is informative for computer architects.
出处 《北京大学学报(自然科学版)》 EI CAS CSCD 北大核心 2010年第1期55-63,共9页 Acta Scientiarum Naturalium Universitatis Pekinensis
基金 国家自然科学基金资助项目(60703067)
关键词 设计空间探索 支持向量机 性能预测 功耗预测 design space exploration support vector machine performance prediction power prediction
  • 相关文献

参考文献15

  • 1KleinOsowski A J, Lilja D J. MinneSPEC: a new SPEC benchmark workload for simulation-based computer architecture research. IEEE Computer Architectural Letters, 2002, 1(1): 7-10.
  • 2Sherwood T, Perehnan E, Hamerly G, et al. Automatically characterizing large scale program behavior// Proceeding of 10th International Conference on Architectural Support for Programming Languages and Operating Systems. San Jose, California, 2002:45-57.
  • 3Wunderlich R, Wenisch T, Falsafi B, et al. SMARTS: accelerating microarchitecture simulation via rigorous statistical sampling // Proceeding of 30th International Symposium on Computer Architecture. San Diego, 2003: 84-95.
  • 4Liu Wei, Huang M. EXPERT: expedited simulation exploiting program behavior repetition// Proceeding of 18th International Corfference on Supercomputing. Saint-Malo, France, 2004 : 126-135.
  • 5Gribal S, Mouchard G, Cohen A, et al. DiST: a simple, reliable and scalable method to significantly reduce processor architecture simulation time. ACM SIGMETRICS Performance Evaluation Review, 2003, 31(1) : 1-12.
  • 6Yi J J, Kodakara S V, Sendag R. Characterization and comparing prevailing simulation techniques // Proceeding of 11th International Symposium on High Performance Computer Architecture. San Francisco, California, 2005: 266-277.
  • 7Christopher J C. A tutorial on support vector regression. Statistics and Computing Springer, 2004, 14(3): 199-222.
  • 8Sharkey J J, Ponomarev D, Ghose K. M-Sire: a flexible, muhithreaded architectural simulation environment. Binghamton: Department of Computer Science, State University of New York at Binghamton, 2005.
  • 9Chang C C, Lin C J. LIBSIM-a Library for support vector machines [EB/OL~. (2001) [2009-04-01 ]. http://www. csie. ntu. edu. tw/- cjlin/libsvm/.
  • 10Phansalkar A, Joshi A, Eeekhout L, et al. Measuring program similarity: experiments with SPEC CPU benchmark suites // Proceeding of International Symposium on Performance Analysis of Systems and Software. Austin, Texas, 2005:10-20.

同被引文献39

引证文献3

二级引证文献35

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部