期刊文献+

基于VHDL的投币式饮料机的设计 被引量:1

The design of coin drinking seller based on VHDL
下载PDF
导出
摘要 通过VHDL为基础设计了一种投币式饮料机的设计。整个设计以两个计数器为核心,30秒计数器通过与按键联合控制整个购买过程;8秒计数控制饮料出口开关的自动开关,从而达到控制输出流量。全文提供了投币信号处理,购买过程处理,开关流量控制几个主要控制模块的设计思路及方案。并给出了各模块和总体系统设计的仿真。 A new design of coin drinking seller based on VHDL is introduced. The most important part in this design are two counters,the 30 seconds counter combined with keys control the process of selection by cosumers. ,the 8 seconds counter works as a controller to control the rate of output flow. This article provided the thoughts and schemes for these key parts such as coin signal process,selection process and rate flow control.At last the paper present the emulation result for whole design.
作者 伍麟珺
出处 《电子与电脑》 2010年第3期85-88,共4页 Compotech
关键词 VHDL 投币 计数器 控制 VHDL, coin, counter, control
  • 相关文献

参考文献6

二级参考文献21

共引文献13

同被引文献2

引证文献1

二级引证文献1

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部