期刊文献+

VHDL语言为核心的EDA技术在医学中的应用

Medical Application of EDA Technology Taking VHDL Language as Core
下载PDF
导出
摘要 在此将VHDL语言设计的计数器应用于脉搏测量,精确的计量出脉搏跳动,并通过数码管直观地表示出来。显示出VHDL语言设计数字系统与医学的紧密联系及其在医疗实践中的巨大应用前景。实践证明,将EDA技术与医学相结合,不仅能促进EDA技术的深入发展,而且能够极大地推动医学的进步。 As a new hardware description language, VHDL is mainly used for the description, simulation and automatic design of digital circuits and systems. It is the core technology of current clectronic design automation (EDA) and can be more widely used in the medical speciality and other relative fields along with the development of information technology. In this study, the counter designed with VHDL was applied to human pulse measurement. Compared to the artificial method, the results measured by such counter is more accurate and can be displayed intuitively by the digital tubes. It shows the close contact between the digital system designed with VHDL and medicine, as well as its great prospects on the medical practice. It had proved that the combination of EDA and medicine not only promotes the further application of EDA technology, but also boosts the development of medicine greatly.
机构地区 青海师范大学
出处 《现代电子技术》 2010年第8期70-72,共3页 Modern Electronics Technique
关键词 VHDL Max+PlusⅡ 计数器 EDA技术 VHDL Max+Plus Ⅱ counter EDA technology
  • 相关文献

参考文献5

二级参考文献5

  • 1江晓安.数字电子技术[M].西安:西安电子科技大学出版社,2002.
  • 2阎石.数子电子技术基础[M].北京:高等教育出版社,1980.
  • 3清华大学电子学教研组编,余孟尝.数字电子技术基础简明教程[M]高等教育出版社,2006.
  • 4陈耀和.VHDL语言设计技术[M]电子工业出版社,2004.
  • 5毕满清.电子技术实验与课程设计[M]机械工业出版社,1995.

共引文献17

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部