期刊文献+

数字图像中值滤波算法的FPGA实现 被引量:7

Implementation of Median Filter on FPGA
下载PDF
导出
摘要 针对传统中值滤波算法排序量大的缺点,详细研究了一种改进的中值滤波算法,对一个n×n的滤波窗口,先对每一列升排序,再对每一行升排序,最后取对角线上像素中值作为滤波结果。用Verilog硬件描述语言实现改进的中值滤波算法,并在Modelsim6.5a中通过时序仿真,最终在Altera DE2开发板上验证和实现。 In order to solve the problem that the speed of classical median filter was slow because of lots of sorting,an improved median filter algorithm is described.For a filter window,each row is sorted up first,and then each line,the median value in the cater corner is the result.Verilog HDL code of the improved median filter algorithm is implemented and simulated in the Modelsim 6.5a IDE,validated and realized on the Altera DE2 board.
作者 胡斌 殷瑞祥
出处 《微处理机》 2010年第2期10-12,15,共4页 Microprocessors
关键词 中值滤波 现场可编程逻辑门阵列 VERILOG硬件描述语言 数字图像处理 DE2开发板 Median filter FPGA Verilog HDL Digital image processing DE2 Board
  • 相关文献

参考文献4

  • 1冈萨雷斯.数字图像处理[M].北京:电子工业出版社,2003..
  • 2Priyadarshan Kolte,Roger Smith,Wen Su.A Fast Median Filter using AltiVec[C].IEEE International Conference on Computer Design,1999-10:384-391.
  • 3Altera Coporation.DE2 Development and Education Board User Manual[Z].2007 ftp://ftp.altera.com/up/pub/de2/DE2_System_v1.6.zip.
  • 4Altera Corporation.Altera DE2 tutorial.Using the SDRAM Memory on Altera's DE2 Board with verilog Design[Z].2007ftp://ftp.altera.com/up/pub/de2/DE2_System_v1.6.zip.

共引文献135

同被引文献48

引证文献7

二级引证文献55

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部