期刊文献+

采用FPGA实施DisplayPort

An FPGA Route Toward Implementing DisplayPort
下载PDF
导出
摘要 设计性能出色的全新3D电视可走"终南捷径",赛灵思介绍了其Spartan-6FPGA消费显示套件和IP,可为DisplayPort设计带来方便。
出处 《电子产品世界》 2010年第8期62-64,共3页 Electronic Engineering & Product World
  • 相关文献

参考文献6

  • 1Xilinx IP www.xilinx Center - DisplayPort LogiCORE[R/OL]:http://www.xilinx.com/products/ipcenter/EF-DIDISPLAYPORT.htm.
  • 2Quantum Data 882E Video Test Instruments[R/OL],http://www.quantumdata.com/pdf/882E_DP_DS_Ravl.pdf.
  • 3VESA DisplayPort Standard[S]. v1.1a, 2008-1.
  • 4Philips Semiconductors.12S Bus特点.1996 (更多12S: http://www.nxp.comlacrobat_download/various/12SBUS.pdf ).
  • 5UG196 and UG 198.Virtex-5 FPGA GTP Transceiver User Guides.
  • 6UG386.Spartan-6 FPGA GTP TransceiverUser Guide.

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部