期刊文献+

一种高速延时无关片上异步转同步通信接口的设计 被引量:2

A Novel High-speed Delay-independent Asynchronous to Synchronous Communication Interface
下载PDF
导出
摘要 该文提出一种可用于多核片上系统和片上网络的快速延时无关异同步通信接口,由在独特运行协议下工作的环形FIFO实现,可在支持多种数据传输协议的前提下,保证数据从异步模块到同步时钟模块的完整高速传输。在0.18μm标准CMOS工艺下,传输接口的延时为792 ps,平均能耗为4.87 pJ/request,可满足多核片上系统和片上网络芯片高速低功耗、鲁棒性强和重用性好的设计要求。 This paper proposes a novel delay-independent communication interface used in multiprocessor System-on-Chip(SoC) and Network-on-Chip(NoC).Data can be transferred fast from asynchronous to synchronous through the interface gracefully,which is implemented by the circular FIFO handled under special operation protocol,and various asynchronous transfer protocols are supported.Meanwhile,the communication integrity and high throughput are maintained during transmission.Simulations are made based on SMIC 0.18 μm CMOS technology.Results show that the delay is 792 ps with the average energy consumption of 4.87 pJ/request,which can satisfy the requirements of high speed low power,strong robustness and good reusability in Multiprocessor SoC and NoC.
出处 《电子与信息学报》 EI CSCD 北大核心 2011年第4期938-944,共7页 Journal of Electronics & Information Technology
基金 国家自然科学基金(60725415 60971066) 国家863计划项目(2009AA01Z258 2009AA01Z260) 国家重点实验室基金(ZHD200904)资助课题
关键词 片上系统 通信接口 高速低功耗 延时无关 异步转同步 全局异步局部同步 System-on-Chip(SoC) Communication interface High-speed low-power Delay-independent Asynchronous to synchronous Globally Asynchronous Locally Synchronous(GALS)
  • 相关文献

参考文献15

  • 1Clermidy F and Bernard C. A 477 mW NoC-based digital baseband for MIMO 4C SDR. IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, 2010: 278-279.
  • 2Shin J L and Tam K. A 40 nm 16-core 128-thread CMT SPARC SoC processor. IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, 2010: 98-99.
  • 3Sparse J and Furber S. Principles of asynchronous circuit design: a systems perspective. Boston, MA: Kluwer, 2001: 2-23.
  • 4Gebhardt D, You J, and Stevens K S. Comparing energy and latency of asynchronous and synchronous NoCs for embedded SoCs. 2010 Fourth ACM/IEEE International Symposium on Networks-on-Chip, Grenoble, 2010: 115-122.
  • 5Howard J and Dighe S. A 48-Core IA-32 message-passing processor with DVFS in 45 nm CMOS. IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, 2010: 108-109.
  • 6Kenniment D J. Synchronization and Arbitration in Digital Systems. San Francisco. Wiley, 2008: 14-38.
  • 7Muttersbach J, Villiger T, and Fichtner W. Practical design of globally-asynchronous, locally-synchronous systems. 6th International Symposium on Advanced research in Asynchronous Circuits and Systems, Eilat, 2000: 52-59.
  • 8Sheibanyrad A and Greiner A. Two efficient synchronous asynchronous converters well-suited for network-on-chip in GALS architectures. Integration, the VLSI Journal, 2008, 41(1): 17-26.
  • 9Ogg S, Valli E, and A1-Hashimi B, et al.. Serialized asynchronous links for NoC. Proceedings of Design, Automation and Test in Europe, Munich, 2008: 1003-1008.
  • 10Chelcea T and Nowick S M. Robust interface for mixed-timing systems. IEEE Transaction on Very Large Scale Integration(VLSI) Systems, 2004, 12(8): 857-873.

二级参考文献2

共引文献6

同被引文献58

  • 1Vangal S R, Howard J, Ruhl G, etal. An 80-tile sub-100-W TeraFLOPS processor in 65-nm CMOS [J]. IEEE Journal of Solid-State Circuits, 2008, 43(1): 29-41.
  • 2Clermidy F, Bernard C, Lemaire R, et al. A 477mW NoC-based digital baseband for MIMO 4G SDR [C] // Proceedings of IEEE International Solid-State Circuits Conference Digest of Technical Papers. Piscataway: IEEE Computer Society Press, 2010:278-279.
  • 3Sparso J, Furber S. Principles of asynchronous circuit design: a systems perspective [M]. London: Kluwer Academic Publishers, 2001.
  • 4Krstie M, Grass E, Gtirkaynak F K, et al. Globally asynchronous, locally synchronous circuits: overview and outlook [J]. IEEE Design & Test of Computers, 2007, 24 (5), 430-441.
  • 5Blaauw D, Chopra K, Srivastava A, et al. Statistical timing analysis: from basic principles to state of the art[J]. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2008, 27(4): 589-607.
  • 6Beigne E, Clermidy F, Lhermet H, et al. An asynchronous power aware and adaptive NoC laased circuit[J]. IEEE Journal of Solid-State Circuits, 2009, 44(4) : 1167-1177.
  • 7International Technology Roadmap for Semiconductors. Chapter design [OL]. [2011 10-10]. http://www, itrs. net/ Link/2009ITRS/Home2009. htm.
  • 8Martin A J. The limitations to delay-insensitivity in asynchronous circuits [C] //Proceedings of the 6th MIT Conference on Advanced Research in VLSI. Cambridge: The MIT Press, 1990:263-278.
  • 9Stevens K S, Golani P, Beerel P A. Energy and performance models for synchronous and asynchronous communication [M]. IEEE Transactions on Very Large Scale Integration Systems, 2011, 19(3): 369-382.
  • 10Cortadella J, Kishinevsky M, Kondratyev A, etal. Petrify: a tool for manipulating concurrent specifications and synthesis ofasynchronous controllers [J]. IEICE Transactions Information and Systems, 1997, E80-D(3): 315-325.

引证文献2

二级引证文献3

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部