期刊文献+

基于IPC与公平性的共享Cache划分 被引量:1

Shared Cache Partitioning Based on IPC and Fairness
下载PDF
导出
摘要 提出一种兼顾高速缓冲存储器(Cache)公平性及系统吞吐率的划分方法,使用Cache访问监控器记录各应用访问Cache的命中及失效次数,通过动态划分算法决定每个应用占用的Cache数量,解决了共享Cache访问冲突导致的Cache污染.实验表明:在吞吐率方面,该方法较传统的LRU替换策略可获得最高37.90%,平均15.71%的提升,比公平性最优的划分算法可获得最大47.37%,平均14.11%的吞吐率提升;在公平性方面,较传统的LRU替换策略可获得最大4倍,平均77%的提升;比失效率最优的划分算法可获得最大9倍,平均2.29倍的公平性提升. This paper proposes a shared Cache partitioning algorithm,based on throughput(IPC) and fairness,which in order to find a balance between fairness and throughput.We used the Cache access monitor to collect the missing rate information,and then decide the amount of Cache resources allocated to each application by the dynamic partitioning algorithm to resolve the problem of Cache pollution.Experiments show that shared Cache partitioning based on IPC and fairness improves throughput by 15.71% on average(up to 37.90%) over least recently used and by 14.11% on average(up to 47.37%) over fairness based Cache partitioning,and improves fairness by 77% on average(up to 4 times) over least recently used and by a factor of 2.29 on average(up to 9 times) over utility based Cache partitioning.
作者 王震 徐高潮
出处 《吉林大学学报(理学版)》 CAS CSCD 北大核心 2011年第4期740-744,共5页 Journal of Jilin University:Science Edition
关键词 CACHE划分 公平性 Cache访问监控器 Cache partitioning fairness Cache access monitor
  • 相关文献

参考文献13

  • 1Kalla R, Sinharoy B, Tendler J M. IBM POWER5 Chip: A Dual-Core Muhithreaded Processor [ J J. IEEE Micro, 2004, 24(2) : 40-47.
  • 2Kongetira P, Aingaran K, Olukotun K. Niagara: A 32-Way Muttithreaded Sparc Processor [J]. IEEE Micro, 2005, 25(2) : 21-29.
  • 3WANG Xiao-yan, LIU Shu-fen, YU Hai. Interface Automata Based Approach to Web Service Composition [ J ]. Journal of Jilin University: Engineering and Technology Edition, 2009, 39(3) : 743-748,.
  • 4Chandra D, GUO Fei, Kim S, et al. Predicting Inter-Thread Cache Contention on a Chip Multi-processor Architecture [ C ]//Proceedings of the 11 th International Symposium on High Performance Computer Architecture. Washington DC: IEEE Computer Society, 2005 : 340-351.
  • 5Kim S, Chandra D, Solihin Y. Fair Cache Sharing and Partitioning in a Chip Muhiprocessor Architecture [ C ]// Proceedings of the 13th International Conference on Parallel Architectures and Compilation Techniques. Washington DC : IEEE Computer Society, 2004 : 111-122.
  • 6Qureshi M K, Part Y N. Utility-Based Cache Partitioning: A Low-Overhead, High-Performance, Runtime Mechanism to Partition Shared Caches [ C ]//Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture. Washington DC: IEEE Computer Society, 2006: 423-432.
  • 7SUO Guang, YANG Xue-jun, LIU Guang-hui, et al. IPC-Based Cache Partitioning: An IPC-Oriented Dynamic Shared Cache Partitioning Mechanism [ C]//lnternational Conference on Convergence and Hybrid Infonnation Technology. Washington DC : IEEE Computer Society, 2008 : 399-406.
  • 8Cacaval C, Padua D A. Estimating Cache Misses and Locality Using Stack Distances [ C]//Proceedings of the 17th Annual International Conference on Supercomputing. New York: ACM Press, 2003: 150-159.
  • 9Cascaval C, DeRose L, Padua D A, et al. Compile-Time Based Performance Prediction [ C]//Proceedins of the Twelfth International Workshop on Languages and Compilers for Parallel Computing. London: Springer-Verlag, 1999: 365-379.
  • 10Luo K, Gummaraju J, Franklin M. Balancing Throughput and Fairness in SMT Processors [ C ]//IEEE Intl Symp on Performance Analysis of Systems and Software (ISPASS). Tucson, Arizona.. Is. n. ~, 2001 : 164-171.

同被引文献10

  • 1Dybdahl H. Stenstrom P.Natvig L. An LRU-based re-placement algorithm augmented with frequency of accessin shared chip~multiprocessor Caches [C]// Proceedingsof the 2006 Workshop on Memory Performance : Deal-ing with Applications,Systems and Architectures,NewYork,USA,2006 :45-52.
  • 2Kharbutli M,Yan S. Counter-based cache replacementand bypassing algorithms [J]. IEEE Transaction onComputers,Washington D C,USA,2008,57 (4) : 433-447.
  • 3Chaudhuri M. Pseudo-LIFO: The foundation of a newfamily of replacement policies for last-level caches[C]//Proceedings of the 42nd Annual IEEE/ACM Interna-tional Symposium on Microarchitecture, New York,USA ,2009: 401-412.
  • 4Khan S M,Jimenez D A,Burger D,et al. Using deadblocks as a virtual victim cache[C]// Proceedings of the19th International Conference on Parallel Architecturesand Compilation Techniques,New York,USA, 2010 :489-500.
  • 5Jason Loew. M-sim:the multi-threaded simulator, ver-sion 3. 0[DB/OL]. [2011-06-20] http://www. cs. bing-hamton. edu/.msim/.
  • 6Zahran M, McKee S A, Global management of cachehierarchies[C]// The ACM International Conference onComputing Frontiers,Bertinoro, Italy, 2010: 131-139.
  • 7Zhou Yuan-yuan,Philbin J F,Li Kai. The multi-queuereplacement algorithm for second level buffer caches[C]// In Proceedings of 2001 USENIX Annual TechnicalConference,California C A,USA,2002 : 1-11.
  • 8Xiang Ling-xiang, Chen Tian-zhou,Shi Qing-song,etal. Less reused filter: improving L2 cache performancevia filtering less reused lines [C]// Proceedings of the23rd International Conference on Supercomputing, NewYork, USA, 2009:68-79.
  • 9Chandra D,Guo F,Kim S,et al. Predicting inter-thread cache contention on a chip multi-processor archi-tecture [C]// Proceedings of the 11th InternationalSymposium on High-Performance Computer Architec-ture, Washington D C, USA,2005 ; 1-12.
  • 10Jouppi N P. Improving direct-mapped cache perform-ance by the addition of a small fully-associative cacheand prefetch buffers[C]// 17th International Symposi-um on Computer Architecture, New York,USA,1990:364-373.

引证文献1

二级引证文献1

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部