期刊文献+

Petri网到VHDL的翻译器设计与实现

Design and Implementation of Petri Net to VHDL Translator
下载PDF
导出
摘要 研究了如何用CONPAR语言对Petri网图形进行描述,来获得Petri网模型对应的等效文本文件;然后设计了由Petri网文本文件到VHDL转换的文法规则及对应的语义动作源文件,并由编译工具YACC自动生成Petri网到VHDL转换的语法分析器;语法分析生成的中间代码是一棵抽象语法树,可以自顶向下遍历此抽象语法树,并按照相应的语义规则,通过比较Petri网文本描述和VHDL代码的差别生成VHDL目标代码;由此实现了Petri网到VHDL的翻译器,为基于Petri网的并行控制器的自动硬件实现提供了一种快速有效的方法。 The authors study how to describe Petri Net graphics in CONPAR language to get the equivalent textual file of Petri Net model. Then we design the grammar rule and its corresponding semantic action source file of Petri Net textual file to VHDL conversion. The syn- tactic analyzer of Petri Net to VHDL conversion is generated by compiler tool YACC automatically. The middle codes which generated by syntactic analysis are an abstract syntax tree. We can traverse the abstract syntax tree top--down. According to the corresponding semantic rule, then the VHDL object codes are generated by comparing the difference between Petri Net' s textual description and VHDL codes. Thus Petri Net to VHDL translator is implemented. It provides a fast and effective method for the automatic hardware implementation of Pe- tri Net--based parallel controllers.
作者 陆继远 景亮
出处 《计算机测量与控制》 CSCD 北大核心 2011年第9期2259-2262,共4页 Computer Measurement &Control
关键词 PETRI网 条件/事件系统 语法分析 翻译器 硬件描述语言 抽象语法树 petri net condition/event system syntactic analysis translator hardware description language abstract syntax tree
  • 相关文献

参考文献9

  • 1David R, Alia H. Petri Nets & Grafeet [M]. Tools for modeling discrete event systems. New York: Prentice Hall, 1992.
  • 2Murata T. Petri Nets: Properties, Analysis and Applications [J]. Proceedings of the IEEE, 1989, 77 (4) : 541 - 580.
  • 3Fernandes J M. , Adamski M, Proenza A J. VHDL Generation from Hierarchical Petri Net Specifications of Parallel Controllers [J]. IEEProc. --Comput. Tech. , 1997, 144 (2): 127-137.
  • 4Ngalamou L, Dixon A, Coore D. Petri Nets--to--VHDL Code Generation: An Approach [A]. Proceedings of World Multiconfer- ence on Systemics [C]. Cybernetics and Informatics, 2001:527 - 532.
  • 5赵不贿,景亮,严仰光.Petri网的硬件实现[J].软件学报,2002,13(8):1652-1657. 被引量:18
  • 6Gomes L, Costa A, Paulo J, et al. From Petri net models to VHDL implementation of digital controllers [A]. The 33rd Annual Con- ference of the IEEE Industrial Electronics Society (IECON) [C]. Nov. 5-8, 2007, Taipei, Taiwan : 94-99.
  • 7Schilke H, Rettberg A, Dittmann F. Towards a Petri Net based Approach to Model and Synthesise Dynamic Reconfiguration for FP- GAs [C]. 4th IEEE International Symposium on Electronic De- sign, Test &Applications 2008: 561-566.
  • 8PAWLEWSKI P (ed). Petri Nets: Applications [M]. Vukovar, Croatia: In--Teh, 2010.
  • 9Niemann T. A Compact Guide to Lex & Yacc [EB/OL]. ht- tp: //epaperpress. com/lexandyacc/download/lexyacc, pdf.

二级参考文献4

  • 1[1]David, R., Alla, H. Petri Nets & Grafcet. Tools for Modeling Discrete Event Systems. New York: Prentice Hall, 1992.
  • 2[2]Adamski, M., Wegrzyn, M. Proceedings of the International Workshop on Discrete-Event System Design DESDes 01. Przytok: Technical University of Zielona Góra, 2001.
  • 3[3]Yakovlev, A., Goes, L., Lavagno, L. Hardware Design and Petri Nets. Boston: Kluwer Academic Publishers, 2000.
  • 4[4]Uzam, M., Avci, M., Yalcin, M.K. Digital hardware implementation of Petri net based specifications: direct translation from safe automation Petri nets to circuit elements. In: Adamski, M., Wegrzyn, M., eds. Proceedings of the International Workshop on Discrete-Event System Design DESDes 01. Przytok: Technical University of Zielona Góra, 2001. 25~33.

共引文献17

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部