期刊文献+

一种面向多核处理器I/O系统软错误容错方法

A Soft Error Tolerance Method for the I/O System of Multi-Core Systems
下载PDF
导出
摘要 集成电路制造工艺的飞速发展,使得集成电路的特征尺寸不断减少和集成度不断提高,造成集成电路对工作环境的影响越来越敏感,发生软错误的几率不断增加,对可靠性造成重要影响。随着微处理器进入了多核时代,丰富的片上资源给软错误加固带来了很好的机遇。本文针对多核处理器中I/O系统软错误,提出了一种基于多核处理器的软件Scrub方法对软错误进行加固。测试结果表明,我们提出的软错误容错方法可以大大提高I/O系统的可靠性。 With the development of integrated circuits, the transistor dimensions are reducing and the density is increasing, and lCs depend on work environment servers, so the possibility of soft error occuring becomes more often, which has important effect on the reliability of chips. Multi-core microprocessors have become the mainstream over the past few yesrs, the redundant resources in the multi-core mi- croprocessors providea redundant resource to enhance the reliability. A soft scrub method for multi-core processors is proposed to tolerate soft errors. The experimental results demonstrate that this technique can greatly increase the reliability of the I/O systems.
出处 《计算机工程与科学》 CSCD 北大核心 2011年第10期76-79,共4页 Computer Engineering & Science
关键词 多核处理器 软错误 可靠性 SCRUB multi-cores processor soft error reliability scrub
  • 相关文献

参考文献9

  • 1Seifert N,Zhu X, Massengill L W. Impact of Scaling on Soft Error Rates in Commercial Microprocessors[J]. IEEE Transactions on Nuclear Science, 2002, 49(6):3100-3106.
  • 2Mitra S, Seifert N, Zhang M. Robust System Design with Built-In Soft ErrorResilience[J]. IEEE Computer, 2005, 38 (2) :43-52.
  • 3Wu K, Karri R. Algorithm Level Re-computing with Shifted Operands-A Register Transfer Level Concurrent Error Detec tion Technique[C]//Proc of International Test Conference (ITC'00), 2000:971-978.
  • 4李爱国,洪炳镕,王司.一种星载计算机数据流软故障纠正算法[J].宇航学报,2007,28(4):1044-1048. 被引量:7
  • 5Smolens J C, Gold B T, Falsafi B,et al. Reunion: Complexi- ty-Effective Multicore Redundancy[C]// Proc of the 39th Annual IEEE/ACM International Symposium on Microarchi- tecture, 2006:223-234.
  • 6Shi Weidong, Lee Hsien-Hsin S, Falk L,et al. An Integrat- ed Framework for Dependable and Revivable Architectures Using Multicore Processors[C]//Proc of the 33rd Interna- tional Symposium on Computer Architecture, 2006 : 102-113.
  • 7Leon A S, Tam K W, Shin J L, et al. A Power-Efficient High-Throughput 32-Thread SPARC Processor[J]. IEEE Journal of Solid-State Circuits,2007,42(1):7-16.
  • 8Reick K, Sanda P N, Swaney S', et al. Fault-Tolerant De sign of the IBM Power6 Microprocessor[J]. IEEE Micro, 2008 , 28 (2) : 30-38.
  • 9AMD Inc. Live Migration with AMD-V Extended Migration Technology[R]. 2007.

二级参考文献13

  • 1王长龙,沈石岑,张传军.星载设备抗单粒子效应的设计技术初探[J].航天控制,1995,13(3):24-30. 被引量:4
  • 2李爱国,洪炳熔,王司.一种软件实现的程序控制流错误检测方法[J].宇航学报,2006,27(6):1424-1430. 被引量:9
  • 3Dhillon Y S,Diril A U,Chatterjee A.Soft-error tolerance analysis and optimization of nanometer circuits[C]// Proceedings of the Design,Automation and Test in Europe Conference and Exhibition(DATE'05),Mu-nich,Germany:IEEE Computer Society,2005:288-293
  • 4Hazucha P.Measurements and analysis of SER-tolerant latch in a 90-nm dual vt CMOS process[J].IEEE J,Solid State Cir-cuits,2004:1536-1543
  • 5Dupont E,Nicolaidis M,Rohr P.Embedded robustness ips for transient-error-free ICs[J].IEEE Des.Test Comput,2002:56-70
  • 6Oh N,Shirvani P,McCluskey E.Error de-tection by duplicated instructions in super-scalar processors[J].IEEE Transactions on Reliability,2002,51(1):63-75
  • 7Nicolescu B,Velazco R.Detecting soft er-rors by a purely software approach:method,5 tools and experimental results[C]// Pro-ceedings of the Design,Automation and Test in Europe Conference and Exihibition (DATE'03),Munich,Germany:IEEE Com-puter Society,2003:20057-20063
  • 8Alkhalifa Z,Nair V,krishnamurthy N,et al.Design and evaluation of systemLevel checks for on-line control flow error de-tection[J].IEEE Transactions Parallel and Distributed Systems,1999,10(6):627-641
  • 9Oh N,Shirvani P,McCluskey E.Control-flow checking by software signatures[J].IEEE Transactions on Reliability,2002,51(2):111-122
  • 10Mukherjee S S,Kontz M,Reinhardt S.Detailed design and evaluation of redun-dant multithreading alternatives[C]// Proc.Int'l Symp,Computer Architecture,IEEE CS Press,2002:99-110

共引文献6

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部