期刊文献+

同步Petri网的仿真及硬件实现 被引量:1

Simulation and Hardware Implementation of Synchronous Petri Net
下载PDF
导出
摘要 基于现有的EDA技术,针对同步Petri网和硬件描述语言的关联性,提出了用VHDL语言对同步Petri网进行描述并实现。首先使用同步Petri网对控制器进行建模,然后使用VHDL语言对同步Petri网控制模型进行描述,最终在EDA软件Max+PlusII中进行编译、仿真和适配,并下载到FP-GA中,通过实验开发系统GW48-CK进行硬件实现并测试。仿真波形及硬件测试表明了该方法的正确性与有效性,这对于基于同步Petri网的控制器设计具有重要意义。 According to the relevance between synchronous Petri Net and hardware description language, VHDL is used to describe and implement synchronous Petri Net based on the existing EDA technology. Firstly, synchronous Petri Net is used to model the controller. Secondly, Petri Net control model is described by VHDL. Finally, the VHDL codes are compiled, simulated, fit-designed and downloaded to FPGA in EDA software Max + PlusH. Hardware implementation and experiment are performed with the development system GW48 - CK. Simulation wave and hardware test further verify the correctness and validity of the method. The method is of great significance to the design of controller based on synchronous Petri Net.
作者 陆继远 景亮
出处 《电讯技术》 北大核心 2011年第10期76-79,共4页 Telecommunication Engineering
关键词 同步Petri网 条件/事件系统 硬件描述语言 电子设计自动化 可编程逻辑器件 硬件实现 synchronous Petri net condition/event system hardware description language electronic design automation programmable logic device hardware implementation
  • 相关文献

参考文献10

  • 1David R, Alla H. Petri Nets & Grafcet [ M ]. New York: Prentice Hall, 1992.
  • 2Murata T. Petri Nets: Properties, Analysis and Applications [J]. Proceedings of the IEEE, 1989, 77(4) :541 - 580.
  • 3Adamski M, Wegrzyn M. Proceedings of the Intemational Workshop on Discrete - Event System Design DESDes' 01 [M]. Przytok: Technical University of Zielona Gora, 2001.
  • 4Pawlewski P. Petri Nets: Applications[ M]. Vukovar, Croatia: In-Teh, 2010.
  • 5Soto E, Pereira M. Implementing a Petri net specification in a FPGA using VHDL[C]// Proceedings of the International Workshop on Discrete - Event System Design. Przytok, Poland: [ s. n. ], 2001 : 19 - 24.
  • 6赵不贿,景亮,严仰光.Petri网的硬件实现[J].软件学报,2002,13(8):1652-1657. 被引量:18
  • 7赵不贿,杜天艳,严仰光.基于VHDL的时延Petri网仿真[J].系统仿真学报,2003,15(z1):99-101. 被引量:2
  • 8Adamski M. Behavioural specification of programs for modular Reconfignrable Logic Controllers [C]// Proceedings of IEEE Mixed Design of Integrated Circuits and Systems. Gdynia: IEEE, 2006: 239 - 244.
  • 9Gomes L, Costa A, Barros J P, et al. From Petri net models to VHDL implementation of digital controllers[ C]//Proceedings of the 33rd Annual Conference of the IEEE Indus- trial Electronics Society. Taipei : IEEE, 2007 : 94 - 99.
  • 10Schilke H, Rettberg A, Dittmann F. Towards a Petri Net based Approach to Model and Synthesise Dynamic Reconfig- uration for FPGAs[ C]// Proceedings of the 4th IEEE International Symposium on Electronic Design, Test & Applications. Hong Kong: IEEE, 2008:561-566.

二级参考文献8

  • 1[1]David, R., Alla, H. Petri Nets & Grafcet. Tools for Modeling Discrete Event Systems. New York: Prentice Hall, 1992.
  • 2[2]Adamski, M., Wegrzyn, M. Proceedings of the International Workshop on Discrete-Event System Design DESDes 01. Przytok: Technical University of Zielona Góra, 2001.
  • 3[3]Yakovlev, A., Goes, L., Lavagno, L. Hardware Design and Petri Nets. Boston: Kluwer Academic Publishers, 2000.
  • 4[4]Uzam, M., Avci, M., Yalcin, M.K. Digital hardware implementation of Petri net based specifications: direct translation from safe automation Petri nets to circuit elements. In: Adamski, M., Wegrzyn, M., eds. Proceedings of the International Workshop on Discrete-Event System Design DESDes 01. Przytok: Technical University of Zielona Góra, 2001. 25~33.
  • 5[4]R·大卫,H·奥兰著,黄建文,赵不贿译.佩特利网和逻辑控制器图形表示工具(GRAFCET)[M].北京:机械工业出版社,1996.
  • 6[5]Grzegorz Andrzejewski. Timed Petri Nets for Software Applications [M].In: Marian Adamski, Marek Wegrayn,ed. Proceedings of the International Workshop on Discrete-Event System Design DESDes'01. Przytok:Technical University ofZielona Góra, 2001, 73-78.
  • 7宋玉银,褚秀萍,蔡复之.基于时间Petri网的实时并行设计过程建模研究[J].计算机集成制造系统-CIMS,1999,5(6):17-22. 被引量:16
  • 8李华,赵玉兰,周雅丽.与时间相关系统的Petri网模型[J].内蒙古大学学报(自然科学版),2000,31(1):125-131. 被引量:2

共引文献18

同被引文献8

  • 1杜天艳,唐平.Petri网的一种硬件实现方法[J].江苏大学学报(自然科学版),2004,25(5):441-444. 被引量:8
  • 2Me Culloch W S, Pitts W. A logical calculus of the i- deas immanent in nervous activity [J]. Bulletin of Mathematical Biophsics, 1943(5) : 115-137.
  • 3Marcos Vicente Moreira, Joao Carlos Basilio. Bridging the gap between design and implementation of discrete- event controllers[J]. IEEE Transactions on Automa- tion and Engineering, 2014,11(1) :48-65.
  • 4Leslaw Gniewek, Jacek Kluska. Hardware implemen- tation of fuzzy petri net as a controller E J ]. IEEE Transactions on Systems, Man, and Cybernetics, 2004,34(3) : 1315-1324.
  • 5David Andreu, Jean-Claude Pascal, Robert Valette. Fuzzy petri net-based programmable logic controller [J]. IEEE Transactions on Systems, Man, and Cyber- netics, 1997, 27(6).. 952-961.
  • 6詹璨铭,李广军,阎波,冯健.一种自动生成状态机RTL代码的方法[J].微电子学与计算机,2008,25(7):157-159. 被引量:2
  • 7万军,赵不贿.并行计算的Petri网建模和FPGA实现[J].计算机应用研究,2013,30(9):2660-2663. 被引量:4
  • 8黄正峰,陈凡,蒋翠云,梁华国.基于时序优先的电路容错混合加固方案[J].电子与信息学报,2014,36(1):234-240. 被引量:4

引证文献1

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部