期刊文献+

基于启发式链搜索的频繁子电路提取算法 被引量:1

Frequent subcircuits extraction algorithm based on heuristic chain search
下载PDF
导出
摘要 针对数字集成电路规律性提取时由根节点选择产生的组合爆炸问题,提出了一种通过提取链状频繁子电路来降低根节点的算法。建立了顺序相关边权值模型,实现了小规模链状频繁子电路的快速提取。利用门级电路中链状模板与其他形状模板的结构依赖性,逐级删除非频繁根节点,避免了对小规模频繁子电路的重复提取,提高了规则性提取的效率。实验结果表明,该算法能够有效解决根节点组合爆炸问题,使支持度高的候选子电路得到优先提取,并显著减少了规律性提取的时间。 Aiming at the combination explosion problem induced by choosing root nodes in the extraction of functional regularity in digital ICs,an algorithm capable of reducing the number of root nodes by extracting the chain-like frequent subcircuits is proposed.By establishing sequence-dependent edge-weight model,the small chain-like frequent subcircuits can be extracted fast.Furthermore,to improve the efficiency of regularity extraction,the non-frequent root nodes can be deleted gradually by utilizing structure dependencies between small chain-like frequent subcircuits and other structure templates at gate level,which avoids the repetitive extraction of small frequent subcircuits.Experimental results show that the proposed algorithm can solve the combination explosion problem effectively,extract the high frequency candidate subcircuits with high priority and reduce runtime of regularity extraction observably.
出处 《吉林大学学报(工学版)》 EI CAS CSCD 北大核心 2011年第6期1748-1753,共6页 Journal of Jilin University:Engineering and Technology Edition
基金 国家重大基础研究项目(61398) 中央高校基本科研业务费专项资金项目
关键词 电子技术 最小支持度 频繁子电路 数据挖掘 子电路同构 electronics minimum support frequent subcircuit data mining subcircuit isomorphic
  • 相关文献

参考文献12

  • 1Kheterpal V, Rovner V, Hersan T G, et al. Design methodology for IC manufacturability based on regularlogic bricks[C]//Proceedings of the 42nd Annual De- sign Automation Conference, New York, USA, 2005: 353-358.
  • 2Nardi A, Sangiovanni-Vincentelli A L. Logic synthesis for manufacturability[J]. Logic Synthesis for Manufac- turability, 2004,21 (3) : 192-199.
  • 3Dobberpuhl D W. Circuits and technology for digital's strong ARM and ALPHA microprocessors [C]//In Proc Conf Adv Res in VLSI, Ann Arbor ,USA, 1997: 2-11.
  • 4Feng Y F, Mantooth H A. Algorithms for automat ic model topology formulation[J]. IEEE Trans on Computer-Aided Design, 2009, 28(4) :502-515.
  • 5Yang G. Computational aspects of mining maximal frequent patterns [J]. Theoretical Computer Sci ence, 2006,362(1-3) :63-85.
  • 6Rao D S, Kurdahi F J. On clustering for maximal regularity extraction[J]. IEEE Trans on Computer Aided Design,1993,12(8) ..1198-1208.
  • 7Kutzschebauch T. Efficient logic optimization using regularity extraction[C]//In Proc Intl Conf on Computer Design, Austin, 2000:487-493.
  • 8Arikati S R, Varadarajan R. A signature based approach to regularity extraction[C]//In Proc Intl Conf on Computer-Aided Design, Washington, 1997 ~542-545.
  • 9White J L, Chung M J, et al. Efficient algorithms for subcircuit enumeration and classification for the module identification problem[C] // Proceeding ICCD "01 Proceedings of the International Conference on Computer Design: VLSI in Computers & Processors, Washington DC, USA,2001:519-522.
  • 10Chowdhary A, Kale S, Saripella P K, et al. Extraction of functional regularity in datapath circuits[J]. IEEE Trans on Computer-Aided Design, 1999, 18 (9) : 1279-1296.

二级参考文献12

  • 1Boehner M. LOGEX-an automatic logic extractor from transistor to gate level for CMOS technology [C] //Proceedings of IEEE/ACM Design Automation Conference, Anaheim,1988:517-522
  • 2Kundu S. GateMaker: a transistor to gate level model extractor for simulation, automatic test patter generation and verification[C] //Proceedings of International Test Conference, Washington D C, 1998; 372-381
  • 3Ebeling C, Zaiicek O. Validating VLSI circuit layout by wirelist comparison [C] //Proceedings of the Conference on Computer Aided Design (ICCAD), Santa Clara, 1983:172-173
  • 4Ohlrich M, Ebeling C, Ginting E. SubGemini: identifying subcircuits using a fast subgraph isomorphism algorithm [C]//Proceedings of IEEE/ACM Design Automation Conference,Dallas, 1993:31-37
  • 5扈文峰.集成电路反向工程逻辑综合算法研究[R].北京:中国科学院自动化研究所,1999.
  • 6Ullmann J R. An algorithm for subgraph isomorphism [J].Journal of the Association for Computer Machinery, 1976, 23(1): 31-42
  • 7Falkenhainer B, Forbus K D, Genmer D. The structure-mapping engine: algorithms and examples[J].Artificial Intelligence, 1989, 41 ( 1 ) : 1-63
  • 8Messmer B T, Bunke H. A decision tree approach to graph and subgraph isomorphism detection [J]. Pattern Recognition,1999, 32(12): 1979-1998
  • 9Messmer B T, Bunke H. Subgraph isomorphism in polynomial time [OL]. http://citeseer.nj. nec. com/messmer95subgraph.html
  • 10Hopcroft F, Wong J. Linear time algorithm for isomorphism of planar graphs [C] //Proceedings of the 6th Annual ACM Symposium on Theory of Computing, Seattle, 1974:172-184

共引文献8

同被引文献14

  • 1郎荣玲,秦红磊,路辉.集成电路中的规则性提取算法[J].计算机学报,2006,29(4):597-601. 被引量:2
  • 2李长青,汪雪林,彭思龙.辐射路匹配:从门级到功能模块级的子电路提取算法[J].计算机辅助设计与图形学学报,2006,18(9):1377-1382. 被引量:9
  • 3李长青,张富斌,彭思龙.基于子图同构的子电路提取算法[J].计算机工程与应用,2006,42(34):185-187. 被引量:2
  • 4Ohlrich M, Ebeling C, Ginting E, et al.SubGemini : identi- fying subcircuits using fast subgraph isomorphism algo- rithm[C]//30th ACM/IEEE Conf, 1993 : 31-37.
  • 5Rubanov N.Sublslands: the probabilistic match assignment algorithm for subcircuit recognition[J].IEEE Trans on Computer Aided Design, 2003,22 ( 1 ) : 26-38.
  • 6Yang L, Shi C J R.FROSTY: a program for fast extraction of high-level structural representation from circuit de- scription for industrial CMOS eircuits[J].Integration, the VLSI Journal, 2006,39(4) : 311-339.
  • 7Ebeling C, Zajicek O.Validating VLSI circuit layout by wirelist comparison[C]//Proceedings of the Conference on Computer Aided Design,IEEE(ICCAD),Santa Clara, 1983 : 172-173.
  • 8Hansen M, Yalcin H, Hayes J EUnveiling the ISCAS-85 benchmarks: a ease study in reverse engineering[J]. IEEE Design & Test of Computers,1999:72-80.
  • 9Brglez F, Fujiwara H.A neutral netlist of 10 combina- tional benchmark circuits[C]//Proc IEEE Int'l Symp Cir- cuits and Systems, Piscataway, N J, 1985 : 695-698.
  • 10Rosiello P E, Ferrandi F, Pandini D, et al.A Hash-based approach for functional regularity extraction during logic synthesis[C]//IEEE Computer Society Annual Sympo- sium on VLSI.New York: IEEE, 2007: 92-97.

引证文献1

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部