期刊文献+

面向片上网络路由器漏流功耗优化的自适应缓冲管理策略 被引量:1

Adaptive Buffer Management for Leakage Power Optimization in NoC Routers
下载PDF
导出
摘要 随着单芯片上集成处理器数量的增加,片上网络逐渐成为多核处理器中非常有前景的互连结构.互连网络成为片上多处理器功耗的重要消耗部件之一.而输入缓冲器是路由器漏流功耗的最大消耗单元,采用门控电源是降低其漏流功耗的有效手段.自适应缓冲管理策略能够根据网络中通信量,自适应地关闭/打开缓冲的一部分,从而降低路由器漏流功耗.而为了减小对网络延迟的影响,该策略中采用的提前唤醒技术能够隐藏缓冲的唤醒延迟.在网络注入率较低情况下,两项缓冲不关闭策略下的网络延迟几乎不受唤醒延迟影响.模拟结果显示,在4×4的二维Mesh中,即使网络注入率为0.7,漏流功耗的节约率依然可以高达46%;网络注入率小于0.4时,两项缓冲不关闭策略下的网络延迟最大仅仅增加了3.8%. Network on chip (NoC) is becoming a promising design solution for interconnection between processor cores and cache banks in CMP (chip multi-processors) as the number cores on a chip increase. Interconnect network is the main power consumption component in CMP. Input buffer is the largest leakage power consumer in DVOQR (dynamic virtual output queues router), and it consumes about 64.9% of the total router leakage power. The run time power gating is one of the attractive methods to reduce the leakage power of routers. The fraction of input buffers can be turned on/off to reduce the leakage power of buffers in adaptive buffer management strategy proposed in this paper, according to the traffic in network. The wakeup latency will lead to the average network latency increase. The look-ahead wakeup technology can hide the wakeup latency and decrease the negative effect. The average network latency is not affected by the wakeup latency in the two-entry-buffer-never-turned-off strategy under low offered traffic rate. Simulation results display that the reduction of leakage power consumed by buffers is up to 46% when offered traffic rate is 0.7 and that the increase of average network latency is as much as 3.8% in two-entry-buffer-never-turned-off strategy when the offered traffic rate is less than 0.4.
出处 《计算机研究与发展》 EI CSCD 北大核心 2011年第12期2400-2409,共10页 Journal of Computer Research and Development
基金 国家"八六三"高技术研究发展计划基金项目(2009AA01Z124) 国家自然科学基金项目(60873016 60873212)
关键词 片上网络 路由器 动态功耗 漏流功耗 自适应缓冲管理 network-on-chip router dynamic power leakage power adaptive buffer management
  • 相关文献

参考文献22

  • 1Ho R. On-chip wires: Scaling and efficiency [D]. Stanford, California: Stanford University, 2003.
  • 2Dally W J, Towles B. Principles and Practices of Intereonneetion Networks[M]. San Francisco: Morgan Kaufmann, 2003.
  • 3Wentzlaff D, Griffin P, Hoffmann H, et al. On-chip interconnection architecture of the tile processor [J]. IEEE Micro, 2007, 27(5): 15-31.
  • 4Hoskote Y, Vangal S, Singh A, et al. A 5-GHz mesh interconnect for a teraflops processor [J]. IEEE Micro, 2007, 27(5): 51-61.
  • 5Carloni L, Kahng A B, Muddu S, et al. Interconnect modeling for improved system-level design optimization [C] //Proc of the 2008 Asia and South Pacific Design Automation Conf. Piscataway, NJ: Institute of Electrical and Electronics Engineers Inc, 2008:258-264.
  • 6Garcea G S, Vander Meijs N P, Otten R H J M. Analytic model for area and power constrained optimal repeater insertion [C] //Proc of the 29th European Solid-State Circuits Conf. Los Alamitos, CA: IEEE Computer Society, 2003: 591-594.
  • 7Nagpal R, Madan A, Bhardwaj A, et al. INTACTE: An interconnect area, delay, and energy estimation tool for Microarchitectural Explorations [C] //Proc of the 2007 Int Conf on Compilers, Architecture, and Synthesis for Embedded Systems. New York.. ACM, 2007:238-247.
  • 8Soteriou V, Peh L S. Exploring the design space of self- regulating power-aware on/off interconnection networks [J]. IEEE Trans on Parallel and Distributed Systems, 2007, 18 (3) : 393-408.
  • 9Ye T, Benini L, Micheli G D. Analysis of power consumption on switch fabrics in network touters [C] //Proc of the 39th Design Automation Conf. New York: ACM, 2002 : 524-529.
  • 10Banerjee N, Vellanki P, Chatha K S. A power and performance model for network-on-chip architectures [C] //Proc of the Conf on Design, Automation and Test in Europe. Los Alamitos, CA: IEEE Computer Society, 2004:1250- 1255.

二级参考文献11

  • 1常晓涛,范东睿,韩银和,张志敏.应用输入向量控制技术降低漏电功耗的快速算法[J].计算机研究与发展,2006,43(5):946-952. 被引量:3
  • 2张承义,张民选,邢座程,王永文.LRU-Assist:一种高效的Cache漏流功耗控制算法[J].电子学报,2006,34(9):1626-1630. 被引量:6
  • 3K S Kaxlras, Z Hu, M Martonosl. Cache decay: Exploiting generational behavior to reduce cache leakage power [C]. ISCA- 28, Goteborg, Sweden, 2001.
  • 4K Flaumer, N S Kim, S Martin, et al. Drowsy caches: Simple techniques for reducing leakage power [C]. ISCA-29, Anchorage, Alaska, USA, 2002.
  • 5N S Kim, K Flautner, D BBlaauw, et al. Circuit and microarchitectura] techniques for reducing cache leakage power [J]. IEEE Trans on VLSI Systems, 2004, 12(2) : 167-184.
  • 6N SKim, K Flautner, D BBlaauw. Single-Vdd and single-Vt super-drowsy techniques for low-leakage high-pedormance instruction cache [C]. Int'l Syrup on Low Power Electronics an Design, Newport Beach, California, UCA, 2004.
  • 7D Burger, T Austin. The SimpleScalar tool set, version 2.0 [J]. Computer Architecture News, 1997, 25(3) : 13-25.
  • 8Y Zhang, D Parikh, K Sankaranarayanan, et al. HotLeakage: A temperature-aware model of subthreshold and gate leakage for architects [R]. Department of Computer Science, University of Virginia, Tech Rep: CS-2003-05, 2003.
  • 9M PoweU, S H Yang, B Falsafi, et al. Gated-Vdd: A circuit technique to reduce leakage in deep-submicron Cache memories [C]. Int'l Symp on Low Power Electronics and Design, Rapallo, Italy, 2000.
  • 10Y Li, I Kadayif, Y-F Tsai, et al. State-preserving vs. nonstate-preserving leakage control in caches [C]. The Design Automation and Test in Europe Conference, Paris, Franch, 2004.

同被引文献13

  • 1Dally W J. Virtual-channel flow control[A].Seattle,Washington,1990.60-68.
  • 2Daniel U B,Dally W J. Allocator implementations for network-on-chip routers[A].Portland,USA,2009.1-12.
  • 3Peh L S,Dally W J. A delay model and speculative architecture for pipelined routers[A].Leone,Mexico,2001.255-266.
  • 4Mullins R,West A,Moore S. The design and implementation of a low-latency on-chip network[A].Pacifico,Yokohama,2006.24-27.
  • 5Matsutani H,Koibuchi M,Amano H. Prediction router:yet another low latency on-chip router architecture[A].Raleigh,North Carolina,USA,2009.367-378.
  • 6McKeown N. The iSLIP scheduling algorithm for inputqueued switches[J].IEEE/ACM Transactions on Networking,1999,(02):188-201.doi:10.1109/90.769767.
  • 7Wang H,Zhu X,Peh L S. Orion:a powerperformance simulator for interconnection networks[A].Istanbul,Turkey,2002.294-395.
  • 8常政威,熊光泽,桑楠,江维.基于电压岛的能量和可靠性感知NoC映射[J].计算机辅助设计与图形学学报,2009,21(1):19-26. 被引量:8
  • 9王坚,李玉柏,蒋勇男.片上网络通信性能分析建模与缓存分配优化算法[J].电子与信息学报,2009,31(5):1059-1062. 被引量:7
  • 10葛芬,吴宁.面向特定应用的片上网络低能耗拓扑生成方法[J].系统工程与电子技术,2010,32(8):1754-1759. 被引量:4

引证文献1

二级引证文献6

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部