期刊文献+

分组密码算法矩阵乘法运算的设计原理

Theory of Matrix Multiplicative Targeted in Block Ciphers
下载PDF
导出
摘要 通过分析分组密码算法中矩阵乘法运算的设计原理和特点,结合逻辑电路结构特征,提出一种可重构矩阵乘法硬件架构的设计原理及方法.电路模拟结果显示,按此原理设计的运算电路在保持运算电路高效性的同时,提高了硬件电路的灵活性. On the basis of analyzing the theories and characterist ics of matrix multiplicative which is used in block ciphers and the characters o f logic circuit,the authors presented a theory and method of designing a dynami c reconfigurable hardware architecture of matrix multiplicative.The analog circ uit on computer proves that the circuit which is designed according to the metho d presented in this paper is effective in keeping the efficiency of circuit and improves the flexibility of the circuit.
出处 《吉林大学学报(理学版)》 CAS CSCD 北大核心 2012年第2期191-194,共4页 Journal of Jilin University:Science Edition
关键词 分组密码 矩阵乘法 有限域 block cipher matrix multiplicative galois field
  • 相关文献

参考文献6

  • 1曲英杰.可重构密码协处理器的概念及其设计原理[J].计算机工程与应用,2003,39(12):7-9. 被引量:11
  • 2Berk S,Saras E,Koc C K,et al.Constructing Composite Field Representations for Efficient Conversion[J].IEEETransactions on Computers,2003,52(11):1391-1398.
  • 3HAN Yong-fei,Leong P C,TAN Peng-chong,et al.Fast Algorithms for Elliptic Curve Cryptosystems over Binary FiniteField in Cryptology[C]//ASLACRYPT’99 Proceedings of the International Conference on the Theory and Applicationsof Cryptology and Information.London:Springer-Verlag,1999:75-85.
  • 4Reyhani-Masoleh A,Hasan M A.Low Complexity Bit Parallel Architectures for Polynomial Basis Multiplication overGF(2m)[J].IEEE Transactions on Computers,2004,53(8):945-959.
  • 5Kitsos P,Theodoridis G,Koufopavlou O.An Efficient Reconfigurable Multiplier Architecture for Galois Field[J].Microelectronics,2003,34(1):975-980.
  • 6袁丹寿,戎蒙恬.一种可重构的快速有限域乘法结构[J].电子与信息学报,2006,28(4):717-720. 被引量:4

二级参考文献16

  • 1Hartej Singh,Ming-Hau Lee,Guangming Lu et aLAn Integrated Reconfigurable System for Data-Parallel and Computation-Intensive Applications[J].IEEE TRANSACTIONS ON COMPUTERS, 2000 ; 49 (5) :465-481.
  • 2Peter M Athanas,Harvey F Silverman.Processor Reconfiguration Through Instruction-set Metamorphosis[J].COMPUTER, 1993;26(3) : 11-18.
  • 3John R Hauser,John Wawrzynek.Garp:A MIPS Processor with a Reconilgurable Coprocessor[C].In:Proc IEEE Symp Field-Programmable Custom Computing Machines,1997.
  • 4Karthikeya M Gajjala Purna,Dinesh Bhatia.Temporal Partitioning and Scheduling Data Flow Graphs for Reconiigurable Computers[J]:IEEE TRANSACTIONS ON COMPUTERS, 1999 ;48(6) :579-590.
  • 5Jean E Vuillemin,Patrice Bertin,Didier Roncin et al.Programmahle Active Memories:Rconfigurable Systems Come of Age[J].IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION(VLSI)SYSTEMS, 1996;4( 1 ) :56-69.
  • 6BruceSchneier.应用密码学[M].北京:机械工业出版社,2000..
  • 7Menezes A J,Oorscho P C V,Vanstone S A.Handbook of Applied Cryptography,Boca Raton,FL,CRC Press,1997.
  • 8Orlando G.Efficient elliptic curve processor architectures for field programmable logic[PhD thesis].Dept.of Electrical Eng.,Worcester Polytechnic Institute,America,2002.
  • 9Bednara M,Daldrup M,Gathen J V Z.Reconfigurable implementation of elliptic curve crypto algorithms.parallel and distributed processing symposium.Proceedings International,IPDPS,Fort Lauderdale,Florida 2002:157-164.
  • 10Mastrovito E.VLSI architectures for computation in Galois fields[PhD thesis].Dept.of Electrical Eng.,Linkoping Univ.,Sweden,1991.

共引文献13

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部